Part Number Hot Search : 
E100A BAT54LP E35A23VR A2W005G L443IDT L443IDT ADP08SA AQW210
Product Description
Full Text Search
 

To Download AM486DE2-66V8THC Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  publication id 20037 revision a amendment /0 issue date april 1996 distinctive characteristics n high-performance design 66-mhz operating frequency frequent instructions execute in one clock 105.6-million bytes/second burst bus at 33 mhz flexible write-through address control dynamic bus sizing for 8-, 16-, and 32-bit buses soft reset capability n high on-chip integration 8-kbyte unified code and data cache floating-point unit paged, virtual memory management n enhanced system and power management stop clock control for reduced power consumption industry-standard, two-pin system management interrupt (smi) for power management indepen- dent of processor operating mode and operating system static design with auto halt power-down support wide range of chipsets supporting smm avail- able to allow product differentiation n complete 32-bit architecture address and data buses all registers 8-, 16-, and 32-bit data types n standard features 3-v core with 5-v-tolerant i/o binary compatible with all am486 ? dx and am486dx2 microprocessors wide range of support available through the amd a fusione86 sm program n ieee 1149.1 jtag boundary-scan compatibility n supports environmental protection agency's energy star program 3-v operation reduces power consumption up to 40% energy management capability provides an ex- cellent base for energy-efficient design works with a variety of energy-efficient, power- managed devices n 208-lead sqfp or 168-pin pga package general description the am486de2 microprocessor is an addition to the amd am486 microprocessor family. the am486de2 enhances system performance by incorporating flexible clock control and enhanced smm. the am486de2 cpu clock control feature permits the cpu to be stopped under controlled conditions, allowing reduced power consumption during system inactivity. the smm function is implemented with an industry-stan- dard, two-pin interface. final am486 a de2 8-kbyte write-through embedded microprocessor
2 am486de2 microprocessor block diagram ads, w/r, d/c, m/io, pcd, pwt, rdy, lock, plock, boff, a20m, breq, hold, hlda, reset, intr, nmi, ferr, up, ignne, smi, smiact, sreset control rom floating- point register file floating- point unit micro-instruction decoded instruction path instruction decode 24 code stream 32 displacement bus 32-byte code queue 2x16 bytes prefetcher 128 32 jtag tdi, tck, tdo, tms pchk, dp3Cdp0 parity generation and control cache control ken, flush, ahold, cache, eads, inv, wb/wt, hitm bs16, bs8 bus size control burst bus control brdy, blast bus control request sequencer data bus transceivers d31Cd0 writeback buffers 4x32 copyback buffers 4x32 write buffers 4x32 address drivers a31Ca2 be3Cbe0 bus interface clock generator clk stpclk clock interface 32-bit data bus 32-bit data bus 32-bit linear address barrel shifter register file 24 alu physical address segmentation unit descriptor registers limit and attribute pla paging unit translation lookaside buffer pcd, pwt 2 physical address 24 8-kbyte cache cache unit 32 central and protection test unit voldet v,v cc ss power plane
am486de2 microprocessor 3 ordering information standard product amd standard products are available in several packages and operating ranges. valid order numbers are formed by a combination of the elements below. h = 208-lead sqfp g= 168-pin pga am486 de2 C66 v 8 t cache type cache size voltage speed option version device number/description t = write-through 8 = 8 kbyte v = v cc is 3 v with 5-v tolerance C66 = 66 mhz de2 = clock-doubled with fpu am486 high-performance cpu valid combination comment AM486DE2-66V8THC sqfp package am486de2-66v8tgc pga package valid combinations valid combinations list configurations planned to be supported in volume for this device. consult the local amd sales office to confirm availability of specific valid combinations and to check on newly released combinations. hc package type temperature range c = commercial
4 am486de2 microprocessor table of contents distinctive characteristics ............................................................................................................................ 1 general description...................................................................................................................................... 1 block diagram .............................................................................................................................................. 2 ordering information .................................................................................................................................... 3 connection diagrams and pin designations................................................................................................ 7 168-pin grid array (pga) package ....................................................................................................... 7 168-pin pga designations (functional grouping) ................................................................................ 8 208-lead shrink quad flat pack (sqfp) package............................................................................... 9 208-lead sqfp designations (functional grouping) ......................................................................... 10 logic symbol.............................................................................................................................................. 11 pin descriptions ......................................................................................................................................... 12 a20m .................................................................................................................................................... 12 a31Ca2 ................................................................................................................................................ 12 ads ...................................................................................................................................................... 12 ahold (modified)................................................................................................................................ 12 be 3Cbe 0 ............................................................................................................................................. 12 blast (modified)................................................................................................................................. 12 boff ................................................................................................................................................... 12 brdy ................................................................................................................................................... 12 breq................................................................................................................................................... 13 bs 8/bs 16 ............................................................................................................................................ 13 cache (new)...................................................................................................................................... 13 clk (modified) ..................................................................................................................................... 13 d31Cd0................................................................................................................................................ 13 d/c ....................................................................................................................................................... 13 dp3Cdp0 ............................................................................................................................................. 13 eads (modified) .................................................................................................................................. 13 ferr ................................................................................................................................................... 14 flush (modified) ................................................................................................................................ 14 hitm (new).......................................................................................................................................... 14 hlda ................................................................................................................................................... 14 hold ................................................................................................................................................... 14 ignne .................................................................................................................................................. 14 intr..................................................................................................................................................... 14 inv (new) ............................................................................................................................................ 14 ken ...................................................................................................................................................... 14 lock ................................................................................................................................................... 14 m/io ..................................................................................................................................................... 15 nmi ...................................................................................................................................................... 15 pcd ..................................................................................................................................................... 15 pchk ................................................................................................................................................... 15 plock (modified)................................................................................................................................ 15 pwt ..................................................................................................................................................... 15 rdy ..................................................................................................................................................... 15 reset ................................................................................................................................................. 15 smi (new) ............................................................................................................................................ 15 smiact (new)..................................................................................................................................... 16 sreset (new) .................................................................................................................................... 16 stpclk (new) .................................................................................................................................... 16 tck...................................................................................................................................................... 16 tdi ....................................................................................................................................................... 16 tdo ..................................................................................................................................................... 16 tms ..................................................................................................................................................... 16 up ........................................................................................................................................................ 16 voldet (new, 168-pin pga package only) ...................................................................................... 16
am486de2 microprocessor 5 wb/wt (new) ...................................................................................................................................... 16 w/r ...................................................................................................................................................... 16 functional description................................................................................................................................ 17 overview .............................................................................................................................................. 17 memory ................................................................................................................................................ 17 modes of operation ............................................................................................................................. 17 write-through cache architecture ...................................................................................................... 17 cache replacement description ......................................................................................................... 17 memory configuration ......................................................................................................................... 17 clock control.............................................................................................................................................. 18 clock generation ................................................................................................................................. 18 stop clock ........................................................................................................................................... 18 stop grant bus cycle .......................................................................................................................... 19 pin state during stop grant ................................................................................................................ 19 clock control state diagram ............................................................................................................... 20 sreset function ...................................................................................................................................... 20 system management mode ....................................................................................................................... 22 overview .............................................................................................................................................. 22 terminology ......................................................................................................................................... 22 system management interrupt processing.......................................................................................... 22 entering system management mode .................................................................................................. 26 exiting system management mode ..................................................................................................... 27 processor environment ....................................................................................................................... 27 executing system management mode handler .................................................................................. 28 smm system design considerations .................................................................................................. 31 smm software considerations ............................................................................................................ 34 test registers 4 and 5 modifications ......................................................................................................... 36 tr4 definition ...................................................................................................................................... 36 tr5 definition ...................................................................................................................................... 36 am486de2 microprocessor functional differences................................................................................... 37 am486de2 microprocessor identification .................................................................................................. 37 dx register at reset ........................................................................................................................ 37 cpuid instruction ................................................................................................................................ 37 electrical data ............................................................................................................................................ 39 power connections.............................................................................................................................. 39 power decoupling recommendations................................................................................................. 39 other connection recommendations.................................................................................................. 39 absolute maximum ratings........................................................................................................................ 40 operating ranges ...................................................................................................................................... 40 dc characteristics over commercial operating ranges ........................................................................... 40 switching characteristics over commercial operating ranges................................................................. 41 switching characteristics for 33-mhz bus (66-mhz microprocessor) ................................................. 42 switching waveforms .......................................................................................................................... 43 package thermal specifications ................................................................................................................ 48 physical dimensions .................................................................................................................................. 50 168-pin pga ........................................................................................................................................ 50 208-lead sqfp ................................................................................................................................... 51
6 am486de2 microprocessor figures figure 1 entering stop grant state ........................................................................................................ 19 figure 2 stop clock state machine ........................................................................................................ 21 figure 3 recognition of inputs when exiting stop grant state .............................................................. 21 figure 4 basic smi interrupt service...................................................................................................... 23 figure 5 basic smi hardware interface ................................................................................................. 23 figure 6 smi timing for servicing an i/o trap ....................................................................................... 24 figure 7 smiact timing ........................................................................................................................ 25 figure 8 redirecting system memory address to smram ................................................................... 25 figure 9 transition to and from smm ..................................................................................................... 27 figure 10 auto halt restart register offset ............................................................................................. 30 figure 11 i/o instruction restart register offset ..................................................................................... 30 figure 12 smm base slot offset .............................................................................................................. 31 figure 13 sram usage ........................................................................................................................... 31 figure 14 smram location ..................................................................................................................... 32 figure 15 smm timing in systems using non-overlaid memory space and write-through mode with caching enabled during smm ................................................................................................. 32 figure 16 smm timing in systems using overlaid memory space and write-through mode with caching enabled during smm ................................................................................................. 33 figure 17 smm timing in systems using overlaid memory space and write-through mode with caching disabled during smm ................................................................................................ 33 figure 18 clk waveforms ....................................................................................................................... 43 figure 19 output valid delay timing ....................................................................................................... 44 figure 20 maximum float delay timing................................................................................................... 44 figure 21 pchk valid delay timing ........................................................................................................ 45 figure 22 input setup and hold timing.................................................................................................... 46 figure 23 rdy and brdy input setup and hold timing ......................................................................... 47 figure 24 tck waveforms ....................................................................................................................... 47 figure 25 test signal timing diagram ..................................................................................................... 48 figure 26 heat sink dimensions .............................................................................................................. 49 tables table 1 eads sample time ................................................................................................................. 13 table 2 pin state during stop grant bus state .................................................................................... 19 table 3 smram state save map ......................................................................................................... 26 table 4 smm initial cpu core register settings.................................................................................. 28 table 5 segment register initial states ................................................................................................ 28 table 6 system management mode revision identifier........................................................................ 29 table 7 smm revision identifier bit definitions .................................................................................... 29 table 8 auto halt restart configuration ............................................................................................... 30 table 9 i/o trap word configuration .................................................................................................... 30 table 10 test register (tr4).................................................................................................................. 36 table 11 test register (tr5).................................................................................................................. 36 table 12 am486de2 microprocessor functional differences ................................................................ 37 table 13 cpu id codes.......................................................................................................................... 37 table 14 cpuid instruction description ................................................................................................. 38 table 15 thermal resistance (c/w) q jc and q ja for the am486de2 in 168-pin pga package .......... 49 table 16 maximum t a at various airflows in c ..................................................................................... 49
am486de2 microprocessor 7 connection diagrams and pin designations 168-pin grid array (pga) package a b c d e f g h j k l m n p q r s a b c d e f g h j k l m n p q r s 1 2 3 4 5 6 7 8 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 1 2 3 4 5 6 7 8 9 1 0 1 1 1 2 1 3 1 4 1 5 1 6 1 7 d 2 0 d 1 9 d 1 1 d 9 v s s d p 1 v s s v s s i n c v s s v s s v s s d 2 d 0 a 3 1 a 2 8 a 2 7 d 2 2 d 2 1 d 1 8 d 1 3 v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 v s s a 2 5 a 2 6 t c k v s s c l k d 1 7 d 1 0 d 1 5 d 1 2 d p 2 d 1 6 d 1 4 d 7 d 4 d p 0 a 3 0 a 1 7 v c c a 2 3 d 2 3 v s s v c c a 1 9 v s s v o l d e t d p 3 v s s v c c d 1 3 v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 a 2 1 a 1 8 a 1 4 d 2 4 d 2 5 d 2 7 d 1 3 v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 a 2 4 v c c v s s v s s v c c d 2 6 d 1 3 v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 a 2 2 a 1 5 a 1 2 d 2 9 d 3 1 d 2 8 d 1 3 v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 a 2 0 v c c v s s v s s v c c d 3 0 d 1 3 v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 a 1 6 v c c v s s t d i t m s f e r r a 2 v c c v s s i n v s m i s r e s e t v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 a 1 3 v c c v s s v s s v c c u p d 1 3 v c c d 8 v c c d 3 d 5 v c c d 6 v c c d 1 a 2 9 a 9 v c c v s s h i t m c a c h e s m i a c t a 5 a 1 1 v s s i n c w b / w t i n c a 7 a 8 a 1 0 i g n n e n m i f l u s h a 2 0 m h o l d k e n s t p c l k b r d y b e 2 b e 0 p w t d / c l o c k h l d a b r e q a 3 a 6 i n t r t d o r e s e t b s 8 v c c r d y v c c v c c b e 1 v c c v c c v c c m / i o v c c p l o c k b l a s t a 4 a h o l d e a d s b s 1 6 b o f f v s s b e 3 v s s v s s p c d v s s v s s v s s w / r v s s p c h k l a d s inc pin side view
8 am486de2 microprocessor 168-pin pga designations (functional grouping) address data control test inc v cc v ss pin name pin no. pin name pin no. pin name pin no. pin name pin no. pin no. pin no. pin no. a2 a3 a4 a5 a6 a7 a8 a9 a10 a11 a12 a13 a14 a15 a16 a17 a18 a19 a20 a21 a22 a23 a24 a25 a26 a27 a28 a29 a30 a31 q-14 r-15 s-16 q-12 s-15 q-13 r-13 q-11 s-13 r-12 s-7 q-10 s-5 r-7 q-9 q-3 r-5 q-4 q-8 q-5 q-7 s-3 q-6 r-2 s-2 s-1 r-1 p-2 p-3 q-1 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 p-1 n-2 n-1 h-2 m-3 j-2 l-2 l-3 f-2 d-1 e-3 c-1 g-3 d-2 k-3 f-3 j-3 d-3 c-2 b-1 a-1 b-2 a-2 a-4 a-6 b-6 c-7 c-6 c-8 a-8 c-9 b-8 a20m ads ahold be0 be1 be2 be3 blast boff brdy breq bs8 bs16 cache clk d/c dp0 dp1 dp2 dp3 eads ferr flush hitm hlda hold ignn e intr inv ken lock m/io nmi pcd pchk plock pwt rdy reset smi smiact sreset stpclk up voldet wb/wt w/r d-15 s-17 a-17 k-15 j-16 j-15 f-17 r-16 d-17 h-15 q-15 d-16 c-17 b-12 c-3 m-15 n-3 f-1 h-3 a-5 b-17 c-14 c-15 a-12 p-15 e-15 a-15 a-16 a-10 f-15 n-15 n-16 b-15 j-17 q-17 q-16 l-15 f-16 c-16 b-10 c-12 c-10 g-15 c-11 s-4 b-13 n-17 tck tdi tdo tms a-3 a-14 b-16 b-14 a-13 c-13 j-1 r-17 b-7 b-9 b-11 c-4 c-5 e-2 e-16 g-2 g-16 h-16 k-2 k-16 l-16 m-2 m-16 p-16 r-3 r-6 r-8 r-9 r-10 r-11 r-14 a-7 a-9 a-11 b-3 b-4 b-5 e-1 e-17 g-1 g-17 h-1 h-17 k-1 k-17 l-1 l-17 m-1 m-17 p-17 q-2 r-4 s-6 s-8 s-9 s-10 s-11 s-12 s-14 notes: voldet is connected internally to v ss . inc = internal no connect
am486de2 microprocessor 9 208-lead shrink quad flat pack (sqfp) package v ss v cc inc pchk brdy boff bs16 bs8 v cc v ss hold ahold tck v cc v cc v ss v cc v cc clk v cc hlda w/r v ss v cc breq be 0 be 1 be 2 be 3 v cc v ss m/io v cc d/c pwt pcd v cc v ss v cc v cc eads a20m reset flush intr nmi v ss inc rdy ken v cc v ss v ss v cc a25 a26 a27 a28 v cc a29 a30 a31 d3 d4 v cc v ss v cc v cc v ss v cc v cc v ss v cc d5 d6 v cc inc d7 dp1 d8 d9 v ss v cc v ss d10 d11 d12 d13 v ss v cc d14 d15 v cc v ss dp2 d16 v ss v cc v ss v ss dp0 d0 d1 d2 v ss lock plock v cc blast ads a2 v ss v cc v ss a6 a7 v cc a8 v ss v cc a9 a10 v cc v ss v cc a11 v ss a12 v cc a13 a14 v cc v ss a15 a16 v cc a17 v ss v cc tdi tms a18 a19 a20 v cc v cc a21 a22 a23 a24 v ss v cc a3 a4 a5 up v ss v cc v ss v cc v ss sreset smiact v cc v ss v cc tdo v cc cache inv ignne stpclk d31 d30 v ss v cc d29 d28 v cc v ss v cc d27 d26 d25 v cc d24 v ss v cc dp3 d23 d22 d21 v ss v cc inc v ss v cc d20 d19 d18 v cc d17 v ss hitm wb/wt smi ferr inc 208 207 206 205 204 203 202 201 200 199 193 192 191 190 189 188 187 186 185 184 183 182 181 180 179 178 177 176 175 174 173 172 171 170 169 168 167 166 165 164 163 162 161 160 159 158 157 198 197 196 195 194 53 54 55 56 57 58 59 60 61 62 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 63 64 65 66 67 1 2 3 4 5 6 7 8 9 10 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 11 12 13 14 15 156 155 154 153 152 151 150 149 148 147 141 140 139 138 137 136 135 134 133 132 131 130 129 128 127 126 125 124 123 122 121 120 119 118 117 116 115 114 113 112 111 110 109 108 107 106 105 146 145 144 143 142 top view
10 am486de2 microprocessor 208-lead sqfp designations (functional grouping) address data control test inc v cc v ss pin name no. pin name no. pin name no. pin name no. pin no. pin no. pin no. a2 a3 a4 a5 a6 a7 a8 a9 a10 a11 a12 a13 a14 a15 a16 a17 a18 a19 a20 a21 a22 a23 a24 a25 a26 a27 a28 a29 a30 a31 202 197 196 195 193 192 190 187 186 182 180 178 177 174 173 171 166 165 164 161 160 159 158 154 153 152 151 149 148 147 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 144 143 142 141 140 130 129 126 124 123 119 118 117 116 113 112 108 103 101 100 99 93 92 91 87 85 84 83 79 78 75 74 a20m ads ahold be 0 be 1 be 2 be 3 blast boff brdy breq bs 8 bs 16 cache clk d/c dp0 dp1 dp2 dp3 eads ferr flush hitm hlda hold ignne intr inv ken lock m/io nmi pcd pchk plock pwt rdy reset smi smiact sreset stpcl k u p wb/wt w/r 47 203 17 31 32 33 34 204 6 5 30 8 7 70 24 39 145 125 109 90 46 66 49 63 26 16 72 50 71 13 207 37 51 41 4 206 40 12 48 65 59 58 73 194 64 27 tck tdi tdo tms 18 168 68 167 3 11 67 96 127 2 9 14 19 20 22 23 25 29 35 38 42 44 45 54 56 60 62 69 77 80 82 86 89 95 98 102 106 111 114 121 128 131 133 134 136 137 139 150 155 162 163 169 172 176 179 183 185 188 191 198 200 205 1 10 15 21 28 36 43 52 53 55 57 61 76 81 88 94 97 104 105 107 110 115 120 122 132 135 138 146 156 157 170 175 181 184 189 199 201 208 note: inc = internal no connect
am486de2 microprocessor 11 logic symbol dp3Cdp0 a31Ca4 clk a20m m/io am486de2 cpu w/r d/c 28 2 lock 4 be3 Cbe0 clock address bus bus cycle definition address plock bs8 bs16 ads rdy bus cycle control 32 4 intr nmi reset interrupts pchk a3Ca2 brdy blast pwt pcd ken flush eads ahold data parity data bus burst control page cacheability invalidation cache control/ d31Cd0 tms tdi tdo tck ieee test port access ferr ignne numeric error reporting bus arbitration breq hold hlda boff cache mask hitm inv smi smiact smm sreset stpclk stop clock up upgrade voldet voltage detect present wb/wt
12 am486de2 microprocessor pin descriptions the am486de2 microprocessor is a new member of the amd am486 family, which also includes the enhanced am486 and the am486dx microprocessors. like the amd enhanced am486 family, the am486de2 adds new signals to those used by the am486dx pro- cessors. these added signals support new processor features and are indicated as new in the pin description titles. although the am486de2 processor is based on and compatible with the enhanced am486 microprocessors, it has no support for write-back cache. because of this, some am486de2 signals are supported differently than the signals in either the enhanced am486 or the am486dx microprocessors. these signals are indicat- ed as modified in the pin descriptions below. all other processor signals provide the same function- ality as the standard am486dx processor. a20m address bit 20 mask (active-low input) a low signal on the a20m pin causes the microproces- sor to mask address line a20 before performing a lookup to the internal cache, or driving a memory cycle on the bus. asserting a20m causes the processor to wrap the address at 1 mbyte, emulating real mode operation. the signal is asynchronous, but must meet setup and hold times t 20 and t 21 for recognition during a specific clock. during normal operation, a20m should be sam- pled high at the falling edge of reset. a31Ca2 address lines a31-a4 (inputs/outputs) address lines a3-a2 (outputs) pins a31Ca2 define a physical area in memory or indi- cate an input/output (i/o) device. address lines a31Ca4 drive addresses into the microprocessor to perform cache line invalidations. input signals must meet setup and hold times t 22 and t 23 . a31Ca2 are not driven during bus or address hold. a ds address status (active-low output) a low output from this pin indicates that a valid bus cycle definition and address are available on the cycle defini- tion lines and address bus. ads is driven active by the same clock as the addresses. ads is active low and is not driven during bus hold. ahold (modified) address hold (input) the external system may assert ahold to perform a cache snoop. in response to the assertion of ahold, the microprocessor stops driving the address bus a31C a2 in the next clock. the data bus remains active and data can be transferred for previously issued read or write bus cycles during address hold. ahold is recog- nized even during reset and lock . the earliest that ahold can be deasserted is two clock cycles after eads is asserted to start a cache snoop. be 3Cbe 0 byte enable (active-low outputs) the byte enable pins indicate which bytes are enabled and active during read or write cycles. during the first cache fill cycle, however, an external system should ig- nore these signals and assume that all bytes are active. n be3 for d31Cd24 n be2 for d23Cd16 n be1 for d15Cd8 n be0 for d7Cd0 be3 Cbe0 are active low and are not driven during bus hold. blast (modified) burst last (active-low output) burst last goes low to tell the cpu that the next brdy signal completes the burst bus cycle. blast is active for both burst and non-burst cycles. blast is active low and is not driven during a bus hold. boff back off (active-low input) this input signal forces the microprocessor to float all pins normally floated during hold, but hlda is not as- serted in response to boff . boff has higher priority than rdy or brdy ; if both are returned in the same clock, boff takes effect. the microprocessor remains in bus hold until boff goes high. if a bus cycle is in progress when boff is asserted, the cycle restarts. boff must meet setup and hold times t 18 and t 19 for proper operation. boff has an internal weak pull-up. brdy burst ready input (active-low input) the brdy signal performs the same function during a burst cycle that rdy performs during a non-burst cycle. brdy indicates that the external system has presented valid data in response to a read, or that the external system has accepted data in response to write. brdy
am486de2 microprocessor 13 is ignored when the bus is idle and at the end of the first clock in a bus cycle. brdy is sampled in the second and subsequent clocks of a burst cycle. the data pre- sented on the data bus is strobed into the microproces- sor when brdy is sampled active. if rdy is returned simultaneously with brdy , brdy is ignored and the cycle is converted to a non-burst cycle. brdy is active low and has a small pull-up resistor, and must satisfy the setup and hold times t 16 and t 17 . breq internal cycle pending (output) breq indicates that the microprocessor has generated a bus request internally, whether or not the micropro- cessor is driving the bus. breq is active high and is floated only during three-state test mode. (see flush .) bs 8/bs 16 bus size 8 (active-low input) bus size 16 (active-low input) the bs8 and bs16 signals allow the processor to oper- ate with 8-bit and 16-bit i/o devices by running multiple bus cycles to respond to data requests: four for 8-bit devices, and two for 16-bit devices. the bus sizing pins are sampled every clock. the microprocessor samples the pins every clock before rdy to determine the ap- propriate bus size for the requesting device. the signals are active low input with internal pull-up resistors, and must satisfy setup and hold times t 14 and t 15 for correct operation. bus sizing is not permitted during copy-back or write-back operation. bs 8 and bs 16 are ignored dur- ing copy-back or write-back cycles. cache (new) internal cacheability (active-low output) in write-through mode, this signal always floats. clk (modified) clock (input) the clk input provides the basic microprocessor timing signal. all external timing parameters are specified with respect to the rising edge of clk. the clock signal pass- es through an internal phase-lock loop (pll). the clk input is multiplied by two by an internal phase lock loop (pll) to generate the internal operating frequency. d31 C d0 data lines (inputs/outputs) lines d31Cd0 define the data bus. the signals must meet setup and hold times t 22 and t 23 for proper read operations. these pins are driven during the second and subsequent clocks of write cycles. d/c data/control (output) this bus cycle definition pin distinguishes memory and i/o data cycles from control cycles. the control cycles are: n interrupt acknowledge n halt/special cycle n code read (instruction fetching) dp3 C dp0 data parity (inputs/outputs) data parity is generated on all write data cycles with the same timing as the data driven by the microprocessor. even parity information must be driven back into the microprocessor on the data parity pins with the same timing as read information to ensure that the processor uses the correct parity check. the signals read on these pins do not affect program execution. input signals must meet setup and hold times t 22 and t 23 . dp3Cdp0 should be connected to v cc through a pull-up resistor in systems not using parity. dp3Cdp0 are active high and are driven during the second and subsequent clocks of write cycles. eads (modified) external address strobe (active-low input) this signal indicates that a valid external address has been driven on the address pins a31Ca4 of the micro- processor to be used for a cache snoop. this signal is recognized while the processor is in hold (hlda is driv- en active), while forced off the bus with the boff input, or while ahold is asserted. the microprocessor ig- nores eads at all other times. eads is not recognized during the clock after ads , nor during the clock after a valid assertion of eads . snoops to the on-chip cache must be completed before another snoop cycle is initi- ated. table 1 describes eads when first sampled. eads can be asserted every other clock cycle as long as the hold remains active and hitm remains inactive. inv is sampled in the same clock period that eads is asserted. eads has an internal weak pull-up. table 1. eads sample time trigger eads first sampled ahold second clock after ahold asserted hold first clock after hlda asserted boff second clock after boff asserted note: the triggering signal (ahold, hold, or boff ) must remain active for at least 1 clock after eads to ensure proper operation.
14 am486de2 microprocessor this signal is used in cache snooping. the am486de2 processor does not support write-back cache. eads has a weak internal pull-up, which disables this pin. ferr floating-point error (active-low output) driven active when a floating-point error occurs, ferr is similar to the error pin on a 387 math coprocessor. ferr is included for compatibility with systems using dos-type floating-point error reporting. ferr is active low and is not floated during bus hold, except during three-state test mode (see flush ). flush (modified) cache flush (active-low input) in write-through mode, flush invalidates the cache without issuing a special bus cycle. flush is an active low input that needs to be asserted only for one clock. flush is asynchronous, but setup and hold times t 20 and t 21 must be met for recognition in any specific clock. sampling flush low in the clock before the falling edge of reset causes the microprocessor to enter three-state test mode. hitm (new) hit modified line (active-low output) in write-through mode, hitm floats at all times. hlda hold acknowledge (output) the hlda signal is activated in response to a hold re- quest presented on the hold pin. hlda indicates that the microprocessor has given the bus to another local bus master. hlda is driven active in the same clock in which the microprocessor floats its bus. hlda is driven inactive when leaving bus hold. hlda is active high and remains driven during bus hold. hlda is floated only during three-state test mode. (see flush .) hold bus hold request (input) hold gives control of the microprocessor bus to anoth- er bus master. in response to hold going active, the microprocessor floats most of its output and input/output pins. hlda is asserted after completing the current bus cycle, burst cycle, or sequence of locked cycles. the microprocessor remains in this state until hold is deas- serted. hold is active high and does not have an in- ternal pull-down resistor. hold must satisfy setup and hold times t 18 and t 19 for proper operation. ignne ignore numeric error (active-low input) when this pin is asserted, the am486de2 microproces- sor will ignore a numeric error and continue executing non-control floating-point instructions. when ignne is deasserted, the am486de2 microprocessor will freeze on a non-control floating-point instruction if a previous floating-point instruction caused an error. ignne has no effect when the ne bit in control register 0 is set. ignne is active low and is provided with a small internal pull-up resistor. ignne is asynchronous but must meet setup and hold times t 20 and t 21 to ensure recognition in any specific clock. intr maskable interrupt (input) when asserted, this signal indicates that an external interrupt has been generated. if the internal interrupt flag is set in eflags, active interrupt processing is initiated. the microprocessor generates two locked interrupt ac- knowledge bus cycles in response to the intr pin going active. intr must remain active until the interrupt ac- knowledges have been performed to ensure that the interrupt is recognized. intr is active high and is not provided with an internal pull-down resistor. intr is asynchronous, but must meet setup and hold times t 20 and t 21 for recognition in any specific clock. inv (new) invalidate (input) the external system asserts inv to invalidate the cache- line state when an external bus master proposes a write. it is sampled together with a31Ca4 during the clock in which eads is active. inv has an internal weak pull-up. inv is ignored in write-through mode. k en cache enable (active-low input) ken determines whether the current cycle is cacheable. when the microprocessor generates a cacheable cycle and ken is active one clock before rdy or brdy during the first transfer of the cycle, the cycle becomes a cache- line-fill cycle. returning ken active one clock before rdy during the last read in the cache line fill causes the line to be placed in the on-chip cache. ken is active low and is provided with a small internal pull-up resistor. ken must satisfy setup and hold times t 14 and t 15 for proper operation. lock bus lock (active-low output) a low output on this pin indicates that the current bus cycle is locked. the microprocessor ignores hold when lock is asserted (although it does acknowledge ahold and boff ). lock goes active in the first clock of the first locked bus cycle and goes inactive after the
am486de2 microprocessor 15 last clock of the last locked bus cycle. the last locked cycle ends when rdy is returned. lock is active low and is not driven during bus hold. locked read cycles are not transformed into cache fill cycles if ken is active. m/io memory/io (output) a high output indicates a memory cycle. a low output indicates an i/o cycle. nmi non-maskable interrupt (input) a high nmi input signal indicates that an external non- maskable interrupt has occurred. nmi is rising-edge sensitive. nmi must be held low for at least four clk periods before this rising edge. the nmi input does not have an internal pull-down resistor. the nmi input is asynchronous, but must meet setup and hold times t 20 and t 21 for recognition in any specific clock. pcd page cache disable (output) this pin reflects the state of the pcd bit in the page table entry or page directory entry (programmable through the pcd bit in cr3). if paging is disabled, the cpu ignores the pcd bit and drives the pcd output low. pcd has the same timing as the cycle definition pins (m/io , d/c , and w/r ). pcd is active high and is not driven during bus hold. pcd is masked by the cache disable bit (cd) in control register 0 (cr0). pchk parity status (active-low output) parity status is driven on the pchk pin the clock after rdy for read operations. the parity status reflects data sampled at the end of the previous clock. a low pchk indicates a parity error. parity status is checked only for enabled bytes as is indicated by the byte enable and bus size signals. pchk is valid only in the clock imme- diately after read data is returned to the microprocessor; at all other times pchk is inactive high. pchk is floated only during three-state test mode. (see flush .) plock (modified) pseudo-lock (active-low output) when plock is asserted in write-through mode, it in- dicates that the current bus transaction requires more than one bus cycle. examples of such operations are segment table descriptor reads (8 bytes) and cache line fills (16 bytes). the microprocessor drives plock ac- tive until the addresses for the last bus cycle of the trans- action have been driven, whether or not rdy or brdy is returned. plock is a function of the bs8 , bs16 , and ken inputs. plock should be sampled on the clock when rdy is returned. plock is active low and is not driven during bus hold. pwt page write-through (output) this pin reflects the state of the pwt bit in the page table entry or page directory entry (programmable through the pwt bit in cr3). if paging is disabled, the cpu ignores the pwt bit and drives the pwt output low. pwt has the same timing as the cycle definition pins (m/io , d/c , and w/r ). pwt is active high and is not driven during bus hold. r dy non-burst ready (active-low input) a low input on this pin indicates that the current bus cycle is complete, that is, either the external system has presented valid data on the data pins in response to a read, or the external system has accepted data from the microprocessor in response to a write. rdy is ignored when the bus is idle and at the end of the bus cycles first clock. rdy is active during address hold. data can be returned to the processor while ahold is active. rdy is active low and does not have an internal pull- up resistor. rdy must satisfy setup and hold times t 16 and t 17 for proper chip operation. reset reset (input) reset forces the microprocessor to initialize. the microprocessor cannot begin instruction execution of instructions until at least 1 ms after v cc and clk have reached their proper dc and ac specifications. to ensure proper microprocessor operation, the reset pin should remain active during this time. reset is active high. reset is asynchronous, but must meet setup and hold times t 20 and t 21 to ensure recognition on any specific clock. smi (new) smm interrupt (active-low input) a low signal on the smi pin signals the processor to enter system management mode (smm). smi is the highest-level processor interrupt. the smi signal is rec- ognized on an instruction boundary, similar to the nmi and intr signals. smi is sampled on every rising clock edge. smi is a falling-edge sensitive input. recognition of smi is guaranteed in a specific clock if it is asserted synchronously and meets the setup and hold times. if smi is asserted asynchronously, it must go high for a minimum of two clocks before going low, and it must remain low for at least two clocks to guarantee recog- nition. when the cpu recognizes smi , it enters smm before executing the next instruction and saves internal registers in smm space.
16 am486de2 microprocessor smiact (new) smm interrupt active (active-low output) smiact goes low in response to smi . it indicates that the processor is operating under smm control. smiact remains low until the processor receives a reset sig- nal or executes the resume instruction (rsm) to leave smm. this signal is always driven. it does not float dur- ing bus hold or boff . note: do not use sreset to exit from smm. the sys- tem should block sreset during smm. sreset (new) soft reset (input) the cpu samples sreset on every rising clock edge. if sreset is sampled active, the sreset sequence begins on the next instruction boundary. sreset resets the processor, but, unlike reset, does not cause it to sample up or wb/wt , or affect the fpu, cache, cd and nw bits in cr0, and smbase. sreset is asynchronous and must meet the same timing as reset. stpclk (new) stop clock (active-low input) a low input signal indicates a request has been made to turn off the clk input. when the cpu recognizes a stpclk , the processor: n stops execution on the next instruction boundary (unless superseded by a higher priority interrupt) n empties all internal pipelines and write buffers n generates a stop grant acknowledge bus cycle stpclk is active low and has an internal pull-up resis- tor. stpclk is asynchronous, but it must meet setup and hold times t 20 and t 21 to ensure recognition in any specific clock. stpclk must remain active until the stop clock special bus cycle is issued and the system returns either rdy or brdy . tck test clock (input) test clock provides the clocking function for the jtag boundary scan feature. tck clocks state information and data into the component on the rising edge of tck on tms and tdi, respectively. data is clocked out of the component on the falling edge of tck on tdo. tdi test data input (input) tdi is the serial input that shifts jtag instructions and data into the tested component. tdi is sampled on the rising edge of tck during the shift-ir and the shift- dr tap (test access port) controller states. during all other tap controller states, tdi is ignored. tdi uses an internal weak pull-up. tdo test data output (output) tdo is the serial output that shifts jtag instructions and data out of the component. tdo is driven on the falling edge of tck during the shift-ir and shift-dr tap controller states. otherwise, tdo is three-stated. tms test mode select (input) tms is decoded by the jtag tap to select the opera- tion of the test logic. tms is sampled on the rising edge of tck. to guarantee deterministic behavior of the tap controller, the tms pin has an internal pull-up resistor. up upgrade present (input) the processor samples the upgrade present (up ) pin in the clock before the falling edge of reset. if it is low, the processor three-states its outputs immediately. up must remain asserted to keep the processor inactive. the pin uses an internal pull-up resistor. voldet (new, 168-pin pga package only) voltage detect (output) voldet provides an external signal to allow the system to determine the cpu input power level (3 v or 5 v). for the am486de2, the pin ties internally to v ss . wb/wt (new) write-back/write-through (input) wb/wt is sampled low at reset, and all cache-line fills are write-through. wb/wt has an internal weak pull- down. this pin should be tied low for the am486de2 microprocessor. w/r write/read (output) a high output indicates a write cycle. a low output in- dicates a read cycle. note: the am486de2 microprocessor does not use the v cc5 pin used by some 3-v, clock-tripled, 486-based processors. the corresponding pin on the am486de2 microprocessor is an internal no connect (inc).
am486de2 microprocessor 17 functional description note: this am486de microprocessor does not support write-back mode. if you are designing in a shared-mem- ory system or using cache coherency (including snoop- ing and locked accesses), use one of the am486de products that supports write back. overview the am486de2 microprocessor uses a 32-bit architec- ture with on-chip memory management and cache memory units. the instruction set includes the complete 486 microprocessor instruction set, along with exten- sions to serve the new extended applications. all soft- ware written for the 486 microprocessor and previous members of the x86 architectural family can run on the am486de2 microprocessor without modification. the on-chip memory management unit (mmu) is com- pletely compatible with the 486 mmu. the mmu in- cludes a segmentation unit and a paging unit. segmentation allows management of the logical ad- dress space by providing easy data and code relocat- ability and efficient sharing of global resources. the paging mechanism operates beneath segmentation and is transparent to the segmentation process. paging is optional and can be disabled by system software. each segment can be divided into one or more 4-kbyte seg- ments. to implement a virtual memory system, the am486de2 microprocessor supports full restartability for all page and segment faults. memory memory is organized into one or more variable length segments, each up to 4 gbyte (2 32 bytes). a segment can have attributes associated with it, including its loca- tion, size, type (e.g., stack, code, or data), and protection characteristics. each task on a microprocessor can have a maximum of 16,381 segments, each up to 4 gbyte. thus, each task has a maximum of 64 tbyte of virtual memory. the segmentation unit provides four levels of protection for isolating and protecting applications and the operat- ing system from each other. the hardware-enforced protection allows high-integrity system designs. modes of operation the am486de2 microprocessor has four modes of op- eration: real address mode (real mode), virtual 8086 address mode (virtual mode), protected address mode (protected mode), and system management mode (smm). real mode in real mode, the am486de2 microprocessor operates as a fast 8086. real mode is required primarily to set up the processor for protected mode operation. virtual mode in virtual mode, the processor appears to be in real mode, but can use the extended memory accessing of protected mode. protected mode protected mode provides access to the sophisticated memory management paging and privilege capabilities of the processor. system management mode smm is a special operating mode described in detail in system management mode on page 22. write-through cache architecture the am486de2 microprocessor supports the standard 486dx-type write-through cache architecture, which is characterized by the following: n external read accesses are placed in the cache if they meet proper caching requirements. n subsequent reads to the data in the cache are made if the address is stored in the cache tag array. n write operations to a valid address in the cache are updated in the cache and to external memory. this data writing technique is called write-through . the write-through cache implementation forces all writes to flow through to the external bus and back to main memory. consequently, the write-through cache generates a large amount of bus traffic on the external data bus. cache replacement description the cache-line-replacement algorithm uses the stan- dard am486 cpu pseudo lru (least recently used) strategy. when a line must be placed in the internal cache, the microprocessor first checks to see if there is an invalid line available in the set. if no invalid line is available, the lru algorithm replaces the least-recently used cache line in the four-way set with the new cache line. if the cache line for replacement is modified, the modified cache line is placed into the copy-back buffer for copying back to external memory, and the new cache line is placed into the cache. this copy-back ensures that the external memory is updated with the modified data upon replacement. memory configuration in computer systems, memory regions require specific caching and memory write methods. for example, some memory regions are noncacheable while others are cacheable but are write-through. to allow maximum memory configuration, the microprocessor supports
18 am486de2 microprocessor specific memory region requirements. all bus masters, such as dma controllers, must reflect all data transfers on the microprocessor local bus so that the micropro- cessor can respond appropriately. cacheability the am486de2 processor caches data based on the state of the cd and nw bits in cr0, in conjunction with the ken signal, at the time of a burst read access from memory. when the wb/wt signal is low during the first brdy , ken meets the standard setup and hold require- ments, and the four 32-bit doublewords are placed in the cache. however, all cacheable accesses in this mode are considered write-through. note: the cd bit in cr0 enables (0) or disables (1) the internal cache. the nw bit in cr0 enables (0) or dis- ables (1) write-through and snooping cycles. reset sets cd and nw to 1. unlike reset, however, sreset does not invalidate the cache nor does it modify the values of cd and nw in cr0. write-through when the wb/wt signal is low during the first brdy of the cache line read access, the cache line is consid- ered a write-through access. therefore, all writes to this location in the cache are reflected on the external bus, even if the cache line is write protected. clock control clock generation the am486de2 cpu is driven by a 1x clock that relies on phased-lock loop (pll) to generate the two internal clock phases: phase one and phase two. the rising edge of clk corresponds to the start of phase one (ph1). all external timing parameters are specified relative to the rising edge of clk. stop clock the am486de2 cpu also provides an interrupt mech- anism, stpclk , that allows system hardware to control the power consumption of the cpu by stopping the in- ternal clock to the cpu core in a sequenced manner. the first low-power state is called the stop grant state. if the clk input is completely stopped, the cpu enters into the stop clock state (the lowest power state). when the cpu recognizes a stpclk interrupt, the processor: n stops execution on the next instruction boundary (unless superseded by a higher priority interrupt) n waits for completion of cache flush n stops the pre-fetch unit n empties all internal pipelines and write buffers n generates a stop grant bus cycle n stops the internal clock at this point the cpu is in the stop grant state the cpu cannot respond to a stpclk request from an hlda state because it cannot empty the write buffers and, therefore, cannot generate a stop grant cycle. the rising edge of stpclk signals the cpu to return to pro- gram execution at the instruction following the interrupt- ed instruction. unlike the normal interrupts (intr and nmi), stpclk does not initiate interrupt acknowledge cycles or interrupt table reads. external interrupts in order of priority in write-through mode, the priority order of external in- terrupts is: 1. reset/sreset 2. flush 3. smi 4. nmi 5. intr 6. stpclk stpclk is active low and has an internal pull-up resis- tor. stpclk is asynchronous, but setup and hold times must be met to ensure recognition in any specific clock. stpclk must remain active until the stop grant special bus cycle is asserted and the system responds with ei- ther rdy or brdy . when the cpu enters the stop grant state, the internal pull-up resistor is disabled, re- ducing the cpu power consumption. the stpclk input must be driven high (not floated) to exit the stop grant state. stpclk must be deasserted for a minimum of five clocks after rdy or brdy is returned active for the stop grant bus cycle before being asserted again. there are two regions for the low-power-mode supply current: 1. low power: stop grant state (fast wake-up, frequency- and voltage-dependent) 2. lowest power: stop clock state (slow wake-up, voltage-dependent)
am486de2 microprocessor 19 stop grant bus cycle the processor drives a special stop grant bus cycle to the bus after recognizing the stpclk interrupt. this bus cycle is the same as the halt cycle used by a standard am486 microprocessor, with the exception that the stop grant bus cycle drives the value 0000 0010h on the address pins. n m/lo = 0 n d/c = 0 n w/r =1 n address bus = 0000 0010h (a 4 = 1) n be 3Cbe 0 = 1011 n data bus = undefined the system hardware must acknowledge this cycle by returning rdy or brdy , or the processor will not enter the stop grant state (see figure 1). the latency be- tween a stpclk request and the stop grant bus cycle depends on the current instruction, the amount of data in the cpu write buffers, and the system memory per- formance. pin state during stop grant table 2 shows the pin states during stop grant bus states. during the stop grant state, most output and in- put/output signals of the microprocessor maintain the level they held when entering the stop grant state. the data and data parity signals are three-stated. in response to hold being driven active during the stop grant state (when the clk input is running), the cpu generates hlda and three-states all output and input/output sig- nals that are three-stated during the hold/hlda state. after hold is deasserted, all signals return to the same state they were before the hold/hlda sequence. to achieve the lowest possible power consumption during the stop grant state, the system designer must ensure the input signals with pull-up resistors are not driven low, and the input signals with pull-down resistors are not driven high. all inputs except data bus pins must be driven to the power supply rails to ensure the lowest possible current consumption during stop grant or stop clock modes. for compatibility, data pins must be driven low to achieve the lowest possible power consumption. table 2. pin state during stop grant bus state signal type state a3Ca2 o previous state a31Ca4 i/o previous state d31Cd0 i/o floated be3 Cbe0 o previous state dp3Cdp0 i/o floated w/r , d/c , m/io , cache o previous state ads o inactive lock , plock o inactive breq o previous state hlda o as per hold blast o previous state ferr o previous state pchk o previous state smiact o previous state hitm o previous state figure 1. entering stop grant state t 20 t 21 rdy addr stpclk clk stop grant bus cycle
20 am486de2 microprocessor clock control state diagram figure 2 shows the state transitions during a stop clock cycle. normal state this is the normal operating state of the cpu. while in the normal state, the clk input can be dynamically changed within the specified clk period stability limits. stop grant state the stop grant state provides a low-power state that can be entered by simply asserting the external stpclk interrupt pin. when the stop grant bus cycle has been placed on the bus, and either rdy or brdy is returned, the cpu is in this state. the cpu returns to the normal execution state 10C20 clock periods after stpclk has been deasserted. while in the stop grant state, the pull-up resistors on stpclk and up are disabled internally. the system must continue to drive these inputs to the state they were in immediately before the cpu entered the stop grant state. for minimum cpu power consumption, all other input pins should be driven to their inactive level while the cpu is in the stop grant state. a reset or sreset brings the cpu from the stop grant state to the normal state. the cpu recognizes the inputs required for cache invalidations (hold, ahold, boff , and eads ), as explained later. the cpu does not recognize any other inputs while in the stop grant state. input signals to the cpu are not rec- ognized until 1 clock after stpclk is deasserted (see figure 3). while in the stop grant state, the cpu does not recog- nize transitions on the interrupt signals (smi , nmi, and intr). driving an active edge on either smi or nmi does not guarantee recognition and service of the interrupt request following exit from the stop grant state. however, if one of the interrupt signals (smi , nmi or intr) is driven active while the cpu is in the stop grant state, and held active for at least one clk after stpclk is deasserted, the corresponding interrupt will be ser- viced. the am486de2 processor requires intr to be held active until the cpu issues an interrupt acknowl- edge cycle to guarantee recognition. this condition also applies to the existing am486 cpus. in the stop grant state, the system can stop or change the clk input. when the clock stops, the cpu enters the stop clock state. the cpu returns to the stop grant state immediately when the clk input is restarted. you must hold the stpclk input low until a stabilized fre- quency has been maintained for at least 1 ms to ensure that the pll has had sufficient time to stabilize. the cpu generates a stop grant bus cycle when en- tering the state from the normal or the auto halt power- down state. when the cpu enters the stop grant state from the stop clock state or the stop clock snoop state, the cpu does not generate a stop grant bus cycle. stop clock state stop clock state is entered from the stop grant state by stopping the clk input (either logic high or logic low). none of the cpu input signals should change state while the clk input is stopped. any transition on an input signal (except intr) before the cpu has returned to the stop grant state may result in unpredictable behavior. if intr goes active while the clk input is stopped, and stays active until the cpu issues an interrupt acknowl- edge bus cycle, it is serviced in the normal manner. sys- tem design must ensure the cpu is in the correct state prior to asserting cache invalidation or interrupt signals to the cpu. auto halt power-down state a halt instruction causes the cpu to enter the auto halt power-down state. the cpu issues a normal halt bus cycle, and only transitions to the normal state when intr, nmi, smi , reset, or sreset occurs. the system can generate a stpclk while the cpu is in the auto halt power-down state. the cpu generates a stop grant bus cycle when it enters the stop grant state from the halt state. when the system deasserts the stpclk interrupt, the cpu returns execution to the halt state. the cpu generates a new halt bus cycle when it reenters the halt state from the stop grant state. sreset function the am486de2 microprocessor supports a soft reset function through the sreset pin. sreset forces the processor to begin execution in a known state. the pro- cessor state after sreset is the same as after reset except that the internal caches, cd and nw in cr0, write buffers, smbase registers, and floating-point reg- isters retain the values they had prior to sreset, and cache snooping is allowed. the processor starts execu- tion at physical address fffffff0h. sreset can be used to help performance for dos extenders written for the 80286 processor. sreset provides a method to switch from protected to real mode while maintaining the internal caches, cr0, and the fpu state. sreset may not be used in place of reset after power-up.
am486de2 microprocessor 21 figure 2. stop clock state machine figure 3. recognition of inputs when exiting stop grant state normal state clk running auto halt power down state clk running stpclk asserted and stop grant bus cycle stpclk deasserted and halt bus cycle intr, nmi, smi, reset, sreset hlt instruction executed and halt bus cycle generated stpclk asserted and stop grant bus cycle stpclk deasserted or reset, sreset stop clk stop grant state clk running start clk and pll latency stop clock state clk changed t 20 t 21 clk stpclk nmi smi a stpclk sampled note: a = earliest time at which nmi or smi is recognized.
22 am486de2 microprocessor system management mode overview the am486de2 microprocessor supports four modes: real, virtual, protected, and system management mode (smm). as an operating mode, smm has a distinct processor environment, interface, and hardware/soft- ware features. smm lets the system designer add new software-controlled features to the computer products that always operate transparent to the operating system (os) and software applications. smm is intended for use only by system firmware, not by applications software or general-purpose systems software. the smm architectural extension consists of the follow- ing elements: 1. system management interrupt (smi) hardware interface 2. dedicated and secure memory space (smram) for smi handler code and cpu state (context) data with a status signal for the system to decode access to that memory space, smiact 3. resume (rsm) instruction, for exiting smm 4. special features, such as i/o restart and i/o instruction information, for transparent power management of i/o peripherals, and auto halt restart terminology the following terms are used throughout the discussion of system management mode. n smm: system management mode. this is the operating environment that the processor (system) enters when servicing a system management interrupt. n smi: system management interrupt. this is the trigger mechanism for the smm interface. when smi is asserted (smi pin asserted low), it causes the processor to invoke smm. the smi pin is the only means of entering smm. n smi handler: system management mode handler. this is the code that is executed when the processor is in smm. an example application that this code might implement is a power-management-control or a system-control function. n rsm: resume instruction. this instruction is used by the smi handler to exit the smm and return to the interrupted os or application process. n smram: this is the physical memory dedicated to smm. the smi handler code and related data reside in this memory. the processor also uses this memory to store its context before executing the smi handler. the operating system and applications should not have access to this memory space. n smbase: this is a control register that contains the base address that defines the smram space. n context: this term refers to the processor state. the smm discussion refers to the context, or processor state, just before the processor invokes smm. the context normally consists of the cpu registers that fully represent the processor state. n context switch: a context switch is the process of either saving or restoring the context. the smm discussion refers to the context switch as the process of saving/restoring the context while invoking/exiting smm, respectively. n smsave: a mechanism that saves and restores all internal registers to and from smram. system management interrupt processing the system interrupts the normal program execution and invokes smm by generating a system management interrupt (smi) to the cpu. the cpu services the smi by executing the following sequence (see figure 4). 1. the cpu asserts the smiact signal, instructing the system to enable the smram. 2. the cpu saves its state (internal register) to smram. it starts at the smbase relative address location (see smram on page 24), and proceeds downward in a stack-like fashion. 3. the cpu switches to the smm processor environment (an external pseudo-real mode). 4. the cpu then jumps to the absolute address of smbase + 8000h in smram to execute the smi handler. this smi handler performs the system management activities. note: if the smram shares the same physical address location with part of the system ram, it is overlaid smram. to preserved cache consistency and correct smm operation in systems using overlaid smram, the cache must be flushed via the flush pin when entering smm. 5. the smi handler then executes the rsm instruction, which restores the cpus context from smram, deasserts the smiact signal, and then returns control to the previously interrupted program execution.
am486de2 microprocessor 23 for uses such as fast enabling of external i/o devices, the smsave mode permits the restarting of the i/o in- structions and the halt instruction. this is accom- plished through i/o trap restart and halt/auto halt restart slots. only i/o and halt opcodes are restart- able. attempts to restart any other opcode may result in unpredictable behavior. the system management interrupt hardware interface consists of the smi request input and the smiact out- put used by the system to decode the smram (see figure 5). system management interrupt processing smi is a falling-edge-triggered, non-maskable interrupt- request signal. smi is an asynchronous signal, but setup and hold times must be met to guarantee recognition in a specific clock. the smi input does not have to remain active until the interrupt is actually serviced. the smi input needs to remain active for only a single clock if the required setup and hold times are met. smi also works correctly if it is held active for an arbitrary number of clocks (see figure 6). the smi input must be held inactive for at least four clocks after it is asserted to reset the edge-triggered logic. a subsequent smi may not be recognized if the smi input is not held inactive for at least four clocks after being asserted. smi , like nmi, is not affected by the if bit in the eflags register and is recognized on an in- struction boundary. smi does not break locked bus cy- cles. smi has a higher priority than nmi and is not masked during an nmi. after smi is recognized, the smi signal is masked internally until the rsm instruction is executed and the interrupt service routine is complete. masking smi prevents recursive calls. if another smi occurs while smi is masked, the pending smi is recog- nized and executed on the next instruction boundary after the current smi completes. this instruction bound- ary occurs before execution of the next instruction in the interrupted application code, resulting in back-to-back smi handlers. only one smi signal can be pending while smi is masked. the smi signal is synchronized inter- nally and must be asserted at least three clock periods prior to asserting the rdy signal to guarantee recogni- tion on a specific instruction boundary. this is important for servicing an i/o trap with an smi handler. smi active (smiact ) smiact indicates that the cpu is operating in smm. the cpu asserts smiact in response to an smi inter- rupt request on the smi pin. smiact is driven active after the cpu has completed all pending write cycles figure 4. basic smi interrupt service smi #1 #2 #3 instr instr instr state save smi handler state restore #4 #5 instr instr smi smiact rsm figure 5. basic smi hardware interface cpu smiact smi smi interface }
24 am486de2 microprocessor (including emptying the write buffers), and before the first access to smram when the cpu saves (writes) its state (or context) to smram. smiact remains active until the last access to smram when the cpu restores (reads) its state from smram. the smiact signal does not float in response to hold. the smiact signal is used by the system logic to decode smram. the num- ber of clocks required to complete the smm state save and restore is dependent on system memory perfor- mance. the values shown in figure 7 assume 0 wait- state memory writes (two clock cycles), 2 C 1 C 1 C 1 burst read cycles, and 0 wait-state non-burst reads (two clock cycles). additionally, it is assumed that the data read during the smm-state-restore sequence is not cacheable. the minimum time required to enter an smsave smi handler routine for the cpu (from the completion of the interrupted instruction) is given by: latency to start of sml handler = a + b + c = 161 clocks and the minimum time required to return to the interrupt- ed application (following the final smm instruction be- fore rsm) is given by: latency to continue application = e + f + g = 258 clocks smram the cpu uses the smram space for state-save and state-restore operations during an smi. the smi han- dler, which also resides in smram, uses the smram space to store code, data, and stacks. in addition, the smi handler can use the smram for system manage- ment information such as the system configuration, con- figuration of a powered-down device, and system designer-specific information. note: access to smram is through the cpu internal cache. to ensure cache consistency and correct oper- ation, always assert the flush pin in the same clock as smi for systems using overlaid smram. the cpu asserts smiact to indicate to the memory controller that it is operating in system management mode. the system logic should ensure that only the cpu and smi handler have access to this area. alternate bus masters or dma devices trying to access the smram space when smiact is active should be directed to sys- tem ram in the respective area. the system logic is minimally required to decode the physical memory ad- dress range from 38000hC3ffffh as smram area. the cpu saves its state to the state-save area from 3ffffh downward to 3fe00h. after saving its state, the cpu jumps to the address location 38000h to begin ex- ecuting the smi handler. the system logic can choose to decode a larger area of smram as needed. the size of this smram can be between 32 kbyte and 4 gbyte.the system logic should provide a manual method for switching the smram into system memory space when the cpu is not in smm. this enables ini- tialization of the smram space (i.e., loading smi han- dler) before executing the smi handler during smm (see figure 8). figure 6. smi timing for servicing an i/o trap tsu thd smi sampled clk clk2 smi rdy
am486de2 microprocessor 25 smram state save map when smi is recognized on an instruction boundary, the cpu core first sets the smiact signal low, indicating to the system logic that accesses are now being made to the system-defined smram areas. the cpu then writes its state to the state save area in the smram. the state save area starts at smbase + [8000h + 7fffh]. the default cs base is 30000h; therefore, the default state save area is at 3ffffh. in this case, the cs base is also referred to as the smbase. if the smbase relocation feature is enabled, the smram addresses can change. the following formula is used to determine the relocated addresses where the context is saved: smbase + [8000h + register offset], where the default initial smbase is 30000h and the register offset is listed in table 3. reserved spaces are for new registers in future cpus. some registers in the smram state save area may be read and changed by figure 7. smiact timing clk clk2 smi smiact ads rdy t1 t2 normal state state save smm handler state restore normal state e clock-doubled cpu a: last rdy from non-smm transfer to smiact assertion 2 clks minimum b: smiact assertion to first ads for smm state save 20 clks minimum c: smm state save (dependent on memory performance) 139 clks d: smi handler user-determined e: smm state restore (dependent on memory performance) 236 clks f: last rdy from smm transfer to deassertion of smiact 2 clks minimum g: smiact deassertion of first non-smm ads 20 clks minimum ss ss ss ss ss ss ss ss d c a b g f figure 8. redirecting system memory address to smram smram system memory accesses redirected to smram system memory accesses not redirected to smram cpu accesses to system address space used for loading smram normal memory space
26 am486de2 microprocessor the smi handler, with the changed values restored to the processor register by the rsm instruction. some register images are read-only, and must not be modified. (modifying these registers results in unpredictable be- havior.) the values stored in the reserved areas may change in future cpus. an smi handler should not rely on values stored in a reserved area. the following registers are written out during smsave mode to the reserved memory locations (7fa7hC 7f98h, 7f93hC7f8ch, and 7f87hC7f08h), but are not visible to the system software programmer: n dr3Cdr0 n cr2 n cs, ds, es, fs, gs, and ss hidden descriptor registers n eip_previous n gdt attributes and limits n idt attributes and limits n ldt attributes, base, and limits n tss attributes, base, and limits if an smi request is issued to power down the cpu, the values of all reserved locations in the smm state save must be saved to nonvolatile memory. the following registers are not automatically saved and restored by smi and rsm: n tr7Ctr3 n fpu registers: stn fcs fsw tag word fp instruction pointer fp opcode operand pointer note: you can save the fpu state by using an fsave or fnsave instruction. for all smi requests except for power-down suspend/ resume, these registers do not have to be saved be- cause their contents will not change. during a power- down suspend/resume, however, a resume reset clears these registers back to their default values. in this case, the suspend smi handler should read these registers directly to save them and restore them during the power- up resume. anytime the smi handler changes these reg- isters in the cpu, it must also save and restore them. entering system management mode smm is one of the major operating modes, along with protected mode, real mode, and virtual mode. figure 9 shows how the processor can enter smm from any of the three modes and then return. the external signal smi causes the processor to switch to smm. the rsm instruction exits smm. smm is trans- parent to applications programs and operating systems for the following reasons: table 3. smram state save map register offset* register writable? 7ffch cro no 7ff8h cr3 no 7ff4h eflags yes 7ff0h eip yes 7fech edi yes 7fe8h esi yes 7fe4h ebp yes 7fe0h esp yes 7fdch ebx yes 7fd8h edx yes 7fd4h ecx yes 7fd0h eax yes 7fcch dr6 no 7fc8h dr7 no 7fc4h tr* no 7fc0h ldtr* no 7fbch gs* no 7fb8h fs* no 7fb4h ds* no 7fb0h ss* no 7fach cs* no 7fa8h es* no 7fa7hC7f98h reserved no 7f94h idt base no 7f93hC7f8ch reserved no 7f88h gdt base no 7f87hC7f08h reserved no 7f04h i/o trap word no 7f02h auto halt restart yes 7f00h i/o trap restart yes 7efch smm revision identifier yes 7ef8h state dump base yes 7ef7hC7e00h reserved no note: *upper 2 bytes are not modified.
am486de2 microprocessor 27 n the only way to enter smm is via a type of nonmaskable interrupt triggered by an external signal. n the processor begins executing smm code from a separate address space, referred to earlier as system management ram (smram). n upon entry into smm, the processor saves the register state of the interrupted program (depending on the save mode) in a part of smram called the smm context save space. n all interrupts normally handled by the operating system or applications are disabled upon smm entry. n a special instruction, rsm, restores processor registers from the smm context save space and returns control to the interrupted program. similar to real mode, smm has no privilege levels or address mapping. smm programs can execute all i/o and other system instructions and can address up to 4 gbyte of memory. exiting system management mode the rsm instruction (opcode 0f aah) leaves smm and returns control to the interrupted program. the rsm in- struction can be executed only in smm. an attempt to execute the rsm instruction outside of smm generates an invalid opcode exception. when the rsm instruction is executed and the processor detects invalid state in- formation during the reloading of the save state, the pro- cessor enters the shutdown state. this occurs in the following situations: n the value in the state dump base field is not a 32-kbyte aligned address. n a combination of bits in cr0 is illegal: pg=1 and pe=0, or nw=1 and cd=0. in shutdown mode, the processor stops executing in- structions until an nmi interrupt is received or reset ini- tialization is invoked. the processor generates a shutdown bus cycle. three smm features can be enabled by writing to control slots in the smram state save area: 1. auto halt restart . it is possible for the smi request to interrupt the halt state. the smi handler can tell the rsm instruction to return control to the halt instruction or to return control to the instruction following the halt instruction by appropriately setting the auto halt restart slot. the default operation is to restart the halt instruction. 2. i/o trap restart . if the smi was generated on an i/o access to a powered-down device, the smi handler can instruct the rsm instruction to re-execute that i/o instruction by setting the i/o trap restart slot. 3. smbase relocation . the system can relocate the smram by setting the smbase relocation slot in the state save area. the rsm instruction sets smbase in the processor based on the value in the smbase relocation slot. the smbase must be aligned on 32-kbyte boundaries. a reset also causes execution to exit from smm. processor environment when an smi signal is recognized on an instruction ex- ecution boundary, the processor waits for all stores to complete, including emptying the write buffers. the final write cycle is complete when the system returns rdy or brdy . the processor then drives smiact active, saves its register state to smram space, and begins to execute the smi handler. smi has greater priority than debug exceptions and ex- ternal interrupts. this means that if more than one of these conditions occur at an instruction boundary, only the smi processing occurs. subsequent smi requests are not acknowledged while the processor is in smm. the first smi request that occurs while the processor is in smm is latched, and serviced when the processor exits smm with the rsm instruction. only one smi signal is latched by the cpu while it is in smm. when the cpu invokes smm, the cpu core registers are initialized as indicated in table 4. figure 9. transition to and from smm virtual mode system management mode reset reset or rsm smi rsm rsm vm=1 pe=1 reset or pe=0 vm=0 real mode protected mode smi smi
28 am486de2 microprocessor the following is a summary of the key features in the smm environment: n real mode style address calculation n 4-gbyte limit checking n if flag is cleared n nmi is disabled n tf flag in eflags is cleared; single step traps are disabled n dr7 is cleared; debug traps are disabled n the rsm instruction no longer generates an invalid op code error n default 16-bit op code, register, and stack use n all bus arbitration (hold, ahold, boff ) inputs and bus sizing (bs8 , bs16 ) inputs operate normally while the cpu is in smm. executing system management mode handler the processor begins execution of the smi handler at offset 8000h in the cs segment. the cs base is initially 30000h, as shown in table 5. the cs base can be changed using the smm base relocation feature . when the smi handler is invoked, the cpus pe and pg bits in cr0 are reset to 0. the pro- cessor is in an environment similar to real mode, but without the 64-kbyte limit checking. however, the de- fault operand size and the default address size are set to 16 bits. the em bit is cleared so that no exceptions are generated. (if the smm was entered from protected mode, the real mode interrupt and exception support is not available.) the smi handler should not use floating- point unit instructions until the fpu is properly detected (within the smi handler) and the exception support is initialized. because the segment bases (other than cs) are cleared to 0 and the segment limits are set to 4 gbyte, the ad- dress space may be treated as a single, flat 4-gbyte linear space that is unsegmented. the cpu is still in real mode and when a segment selector is loaded with a 16-bit value, that value is then shifted left by 4 bits and loaded into the segment base cache. in smm, the cpu can access or jump anywhere within the 4-gbyte logical address space. the cpu can also indirectly access or perform a near jump anywhere with- in the 4-gbyte logical address space. exceptions and interrupts with system management mode when the cpu enters smm, it disables intr interrupts, debug, and single-step traps by clearing the eflags, dr6, and dr7 registers. this prevents a debug appli- cation from accidentally breaking into an smi handler. table 4. smm initial cpu core register settings register smm initial state general purpose registers unmodified eflags 0000 0002h cr0 bits 0, 2, 3, and 31 cleared (pe, em, ts, and pg); remainder unmodified dr6 unpredictable state dr7 0000 0400h gdtr, ldtr, idtr, tssr unmodified eip 0000 8000h note: interrupts from int and nmi are disabled on smm entry. table 5. segment register initial states segment register selector base attributes limit 1 cs 2 3000h 30000h 16-bit, expand up 4 gbyte ds 0000h 00000000h 16-bit, expand up 4 gbyte es 0000h 00000000h 16-bit, expand up 4 gbyte fs 0000h 00000000h 16-bit, expand up 4 gbyte gs 0000h 00000000h 16-bit, expand up 4 gbyte ss 0000h 00000000h 16-bit, expand up 4 gbyte 1. the segment limit check is 4 gbyte instead of the usual 64k. 2. the selector value for cs remains at 3000h even if the smbase is changed.
am486de2 microprocessor 29 this is necessary because the smi handler operates from a distinct address space (smram) and the debug trap does not represent the normal system memory space. for an smi handler to use the debug trap feature of the processor to debug smi handler code, it must first en- sure that an smm-compliant debug handler is available. the smi handler must also ensure dr3Cdr0 is saved to be restored later. the debug registers dr3Cdr0 and dr7 must then be initialized with the appropriate values. for the processor to use the single-step feature of the processor, it must ensure that an smm-compliant sin- gle-step handler is available and then set the trap flag in the eflags register. if the system design requires the processor to respond to hardware intr requests while in smm, it must ensure that an smm-compliant interrupt handler is available, and then set the interrupt flag in the eflags register (using the sti instruction). software interrupts are not blocked on entry to smm, and the system software designer must provide an smm-compliant interrupt handler before attempting to execute any software interrupt instructions. note that in smm mode the interrupt vector table has the same prop- erties and location as the real mode vector table. nmi interrupts are blocked on entry to the smi handler. if an nmi request occurs during the smi handler, it is latched and serviced after the processor exits smm. only one nmi request is latched during the smi handler. if an nmi request is pending when the processor exe- cutes the rsm instruction, the nmi is serviced before the next instruction of the interrupted code sequence. although nmi requests are blocked when the cpu en- ters smm, they may be enabled through software by executing an iret instruction. if the smi handler re- quires the use of nmi interrupts, it should invoke a dum- my interrupt service routine to execute an iret instruction. when an iret instruction is executed, nmi interrupt requests are serviced in the same real mode manner in which they are handled outside of smm. smm revisions identifier the 32-bit smm revision identifier specifies the version of smm and the extensions that are available on the processor. the fields of the smm revision identifiers and bit definitions are shown in table 6 and table 7. bit 17 or 16 indicates whether the feature is supported (1=supported, 0=not supported). the processor always reads the smm revision identifier at the time of a re- store. the i/o trap extension and smm base reloca- tion bits are fixed. the processor writes these bits out at the time it performs a save state. note: changing the state of the reserved bits may result in unpredictable processor behavior. table 6. system management mode revision identifier 31C18 17 16 15C0 reserved smm base relocation i/o trap extension smm revision level 00000000000000 1 1 0000h table 7. smm revision identifier bit definitions bit name description default state state at smm entry state at smm exit notes smm base relocation 1=smm base relocation available 0=smm base relocation unavailable 1 1 0 1 0 no change in state no change in state i/o trap extension 1=i/o trapping available 0=i/o trapping unavailable 1 1 0 1 0 no change in state no change in state
30 am486de2 microprocessor auto halt restart the auto halt restart slot at register offset (word loca- tion) 7f02h in smram indicates to the smi handler that the smi interrupted the cpu during a halt state; bit 0 of slot 7f02h is set to 1 if the previous instruction was a halt (see figure 10). if the smi did not interrupt the cpu in a halt state, then the smi microcode sets bit 0 of the auto halt restart slot to 0. if the previous in- struction was a halt, the smi handler can choose to either set or reset bit 0. if this bit is set to 1, the rsm microcode execution forces the processor to reenter the halt state. if this bit is set to 0 when the rsm instruction is executed, the processor continues execution with the instruction just after the interrupted halt instruction. if the halt instruction is restarted, the cpu will generate a memory access to fetch the halt instruction (if it is not in the internal cache), and execute a halt bus cycle. table 8 shows the possible restart configurations. if the interrupted instruction was not a halt instruction (bit 0 is set to 0 in the auto halt restart slot upon smm entry), setting bit 0 to 1 will cause unpredictable behavior when the rsm instruction is executed. i/o trap restart the i/o instruction restart slot (register offset 7f00h in smram) gives the smi handler the option of causing the rsm instruction to automatically reexecute the in- terrupted i/o instruction (see figure 11). when the rsm instruction is executed, if the i/o instruc- tion restart slot contains the value 0ffh, then the cpu automatically reexecutes the l/o instruction that the smi signal trapped. if the i/o instruction restart slot contains the value 00h when the rsm instruction is executed, then the cpu does not reexecute the i/o instruction. the cpu automatically initializes the i/o instruction re- start slot to 00h during smm entry. the i/o instruction restart slot should be written only when the processor has generated an smi on an i/o instruction boundary. processor operation is unpredictable when the i/o in- struction restart slot is set when the processor is servic- ing an smi that originated on a non-i/o instruction boundary. if the system executes back-to-back smi requests, the second smi handler must not set the i/o instruction re- start slot. the second back-to-back smi signal will not have the i/o trap word set. i/o trap word the i/o trap word contains the address of the i/o ac- cess that forced the external chipset to assert smi , whether it was a read or write access, and whether the instruction that caused the access to the i/o address was a valid i/o instruction. table 9 shows the layout. bits 31C16 contain the i/o address that was being ac- cessed at the time smi became active. bits 15C2 are reserved. if the instruction that caused the i/o trap to occur was a valid i/o instruction (in, out, ins, outs, rep ins, or rep outs), the valid i/o instruction bit is set. if it was not a valid i/o instruction, the bit is saved as a 0. for rep instructions, the external chip set should return a valid smi within the first access. figure 10. auto halt restart register offset table 8. auto halt restart configuration value at entry value at exit processor action on exit 0 0 return to next instruction in interrupted program 0 1 unpredictable 1 0 returns to instruction after halt 1 1 returns to interrupted halt instruction auto halt restart register offset 7f02h reserved 15 1 0 figure 11. i/o instruction restart register offset table 9. i/o trap word configuration 31C16 15C2 1 0 i/o address reserved valid i/o instruction r/w 15 0 i/o instruction restart slot register offset 7f00h
am486de2 microprocessor 31 bit 0 indicates whether the opcode that was accessing the i/o location was performing either a read (1) or a write (0) operation as indicated by the r/w bit. if an smi occurs and it does not trap an i/o instruction, the contents of the i/o address and r/w bit are unpre- dictable and should not be used. smm base relocation the am486de2 processor provides a control register not in the standard am486dx processor: smbase. the smram address space can be modified by changing the smbase register before exiting an smi handler rou- tine. smbase can be changed to any 32k-aligned val- ue. (values that are not 32k-aligned cause the cpu to enter the shutdown state when executing the rsm in- struction.) smbase is set to the default value of 30000h on reset. if smbase is changed by an smi handler, all subsequent smi requests initiate a state save at the new smbase. the smbase slot in the smm state-save area indicates and changes the smi jump-vector location and smram- save area. when bit 17 of the smm revision identifier is set, then this feature exists and the smram base and consequently, the jump vector, are as indicated by the smm base slot (see table 7). during the execution of the rsm instruction, the cpu reads this slot and initial- izes the cpu to use the new smbase during the next smi. during an smi, the cpu does its context save to the new smram area pointed to by the smbase, stores the current smbase in the smm base slot (offset 7ef8h), and then starts execution of the new jump vec- tor based on the current smbase (see figure 12). the smbase must be a 32-kbyte aligned, 32-bit integer that indicates a base address for the smram context save area and the smi jump vector. for example, when the processor first powers up, the minimum smram area is from 38000hC3ffffh. the default smbase is 30000h. as illustrated in figure 13, the starting address of the jump vector is calculated by: smbase + 8000h the starting address for the smram state-save area is calculated by: smbase + [8000h + 7fffh] when this feature is enabled, the smram register map is addressed according to the above formula. to change the smram base address and smi jump vector location, the smi handler modifies the smbase slot. upon executing an rsm instruction, the processor reads the smbase slot and stores it internally. upon recognition of the next smi request, the processor uses the new smbase slot for the smram dump and smi jump vector. if the modified smbase slot does not con- tain a 32-kbyte aligned value, the rsm microcode caus- es the cpu to enter the shutdown state. smm system design considerations smram interface the hardware designed to control the smram space must follow these guidelines: n initialize smram space during system boot up. initialization must occur before the first smi occurs. initialization of smram space must include installation of an smi handler and may include installation of related data structures necessary for particular smm applications. the memory controller interfacing smram should provide a means for the initialization code to open the smram space manually. n the memory controller must decode a minimum initial smram address space of 38000hC3ffffh. n alternate bus masters (such as dma controllers) must not be able to access smram space. the system should allow only the cpu, either through smi or during initialization, to access smram. figure 12. smm base slot offset 31 0 31 0 smm base register offset 7ef8h figure 13. sram usage smi handler entry point smbase + 8000h + 7fffh smram smbase + 8000h smbase start of state save
32 am486de2 microprocessor n to implement a 0-v suspend function, the system must have access to all normal system memory from within an smi handler routine. if the smram overlays normal system memory (see figure 14), there must be a method to access overlaid system memory independently. the recommended configuration is to use a separate (nonoverlaid) physical address for smram. this non- overlaid scheme prevents the cpu from improperly ac- cessing the smram or system ram directly or through the cache. figure 15 shows the relative smm timing for nonoverlaid smram for systems configured in write- through mode. when the default smram location is used, however, smram is overlaid with system main memory (at 38000hC3ffffh). for simplicity, system designers may want to use this default address, or they may select another overlaid address range. however, in this case the system control circuitry must use smiact to distin- guish between smram and main system memory, and must restrict smram space access to the cpu only. to maintain cache coherency and to ensure proper system operation in systems configured in write-through mode, the system must flush both the cpu internal cache and any second-level caches in response to smiact going low. a system that uses cache during smm must flush the cache a second time in response to smiact going high (see figure 16). if ken is driven high when flush is asserted, the cache is disabled and a second flush is not required (see figure 17). cache flushes the cpu does not unconditionally flush its cache before entering smm. therefore, the designer must ensure that, for systems using overlaid smram, the cache is flushed upon smm entry, and smm exit if caching is enabled. if the flush at smm entry is not done, the first smm read could hit in a cache that contains normal memory space code/data instead of the required smi handler and the handler could not be executed. if the cache is not dis- abled and cache is not flushed at smm exit, the normal read cycles after smm may hit in a cache that may con- tain smm code/data instead of the normal system mem- ory contents. in write-through mode, assert the flush signal in re- sponse to the assertion of smiact at smm entry, and if required because the cache is enabled, assert flush again in response to the deassertion of smiact at smm exit (see figure 16 and figure 17). reloading the state registers at the end of smm restores cache functionality to its pre-smm state. figure 14. smram location non-overlaid (no need to flush caches) overlaid (caches must be flushed) normal memory normal memory smram normal memory overlaid region smram figure 15. smm timing in systems using non-overlaid memory space and write-through mode with caching enabled during smm state save smi handler state resume normal cycle rsm smi smiact
am486de2 microprocessor 33 a20m pin systems based on the ms-dos operating system con- tain a feature that enables the cpu address bit a20 to be forced to 0. this limits physical memory to a maxi- mum of 1 mbyte, and is provided to ensure compatibility with those programs that relied on the physical address wraparound functionality of the original ibm pc. the a20m pin on am486de2 cpus provides this function. when a20m is active, all external bus cycles drive a20 low, and all internal cache accesses are performed with a20 low. the a20m pin is recognized while the cpu is in smm. the functionality of the a20m input must be recognized in two instances: 1. if the smi handler needs to access system memory space above 1 mbyte (for example, when saving memory to disk for a zero-volt suspend), the a20m pin must be deasserted before the memory above 1 mbyte is addressed. 2. if smram has been relocated to address space above 1 mbyte and a20m is active upon entering smm, the cpu attempts to access smram at the relocated address, but with a20 low. this could cause the system to crash, because there would be no valid smm interrupt handler at the accessed location. figure 16. smm timing in systems using overlaid memory space and write-through mode with caching enabled during smm figure 17. smm timing in systems using overlaid memory space and write-through mode with caching disabled during smm state save smi handler state resume normal cycle rsm smi smiact flush smi instruction x instruction x+1 cache contents invalidated cache contents invalidated state save smi handler state resume normal cycle rsm smi smiact flush smi instruction x instruction x+1 cache contents invalidated ken
34 am486de2 microprocessor to account for these two situations, the system designer must ensure that a20m is deasserted on entry to smm. a20m must be driven inactive before the first cycle of the smm state save, and must be returned to its original level after the last cycle of the smm state restore. this can be done by blocking the assertion of a20m when smiact is active. cpu reset during smm the system designer should take into account the fol- lowing restrictions while implementing the cpu reset logic: n when running software written for the 80286 cpu, a cpu reset switches the cpu from protected mode to real mode. reset and sreset have a higher priority than smi . when the cpu is in smm, the sreset to the cpu during smm should be blocked until the cpu exits smm. sreset must be blocked beginning from the time when smi is driven active. care should be taken not to block the global system reset, which may be necessary to recover from a system crash. n during execution of the rsm instruction to exit smm, there is a small time window between the deassertion of smiact and the completion of the rsm microcode. if a protected mode to real mode sreset is asserted during this window, it is possible that the smram space will be violated. the system designer must guarantee that sreset is blocked until at least 20 cpu clock cycles after smiact has been driven inactive or until the start of a bus cycle. n any request for a cpu reset for the purpose of switching the cpu from protected mode to real mode must be acknowledged after the cpu has exited smm. to maintain software transparency, the system logic must latch any sreset signals that are blocked during smm. for these reasons, the sreset signal should be used for any soft resets, and the reset signal should be used for all hard resets. smm and second-level write buffers before the processor enters smm, it empties its internal write buffers. this is to ensure that the data in the write buffers is written to normal memory space, not smm space. when the cpu is ready to begin writing an smm state save to smram, it asserts smiact . smiact may be driven active by the cpu before the system memory controller has had an opportunity to empty the second- level write buffers. to prevent the data from these second-level write buff- ers from being written to the wrong location, the system memory controller needs to direct the memory write cy- cles to either smm space or normal memory space. this can be accomplished by saving the status of smiact with the address for each word in the write buffers. nested smi and i/o restart special care must be taken when executing an smi han- dler for the purpose of restarting an l/o instruction. when the cpu executes a resume (rsm) instruction with the l/o restart slot set, the restored eip is modified to point to the instruction immediately preceding the smi re- quest, so that the l/o instruction can be reexecuted. if a new smi request is received while the cpu is executing an smi handler, the cpu services this smi request be- fore restarting the original i/o instruction. if the i/o re- start slot is set when the cpu executes the rsm instruction for the second smi handler, the rsm micro- code decrements the restored eip again. eip then points to an address different from the originally inter- rupted instruction, and the cpu begins execution at an incorrect entry point. to prevent this from occurring, the smi handler routine must not set the i/o restart slot dur- ing the second of two consecutive smi handlers. smm software considerations smm code considerations the default operand size and the default address size are 16 bits; however, operand-size override and ad- dress-size override prefixes can be used as needed to directly access data anywhere within the 4-gbyte logical address space. with operand-size override prefixes, the smi handler can use jumps, calls and returns to transfer a control to any location within the 4-gbyte space. note, however, the following restrictions: n any control transfer that does not have an operand- size override prefix truncates eip to 16 low-order bits. n due to the real mode style of base-address formation, a long jump or call cannot transfer control segment with a base address of more than 20 bits (1 mbyte). exception handling upon entry into smm, external interrupts that require handlers are disabled (the if in eflags is cleared). this is necessary because, while the processor is in smm, it is running in a separate memory space. con- sequently, the vectors stored in the interrupt descriptor table (idt) for the prior mode are not applicable. before allowing exception handling (or software interrupts), the smm program must initialize new interrupt and excep-
am486de2 microprocessor 35 tion vectors. the interrupt vector table for smm has the same format as for real mode. until the interrupt vector table is correctly initialized, the smi handler must not generate an exception (or software interrupt). even though hardware interrupts are disabled, exceptions and software interrupts can still occur. only a correctly written smi handler can prevent internal exceptions. when new exception vectors are initialized, internal ex- ceptions can be serviced. the restrictions follow: n due to the real mode style of base address formation, an interrupt or exception cannot transfer control to a segment with a base address of more than 20 bits. n an interrupt or exception cannot transfer control to a segment offset of more than 16 bits. n if exceptions or interrupts are allowed to occur, only the low order 16 bits of the return address are pushed onto the stack. if the offset of the interrupted procedure is greater than 64 kbytes, it is not possible for the interrupt/exception handler to return control to that procedure. (one workaround is to perform software adjustment of the return address on the stack.) n the smbase relocation feature affects the way the cpu returns from an interrupt or exception during an smi handler. note: the execution of an iret instruction enables non-maskable interrupt (nmi) processing. halt during smm halt should not be executed during smm, unless in- terrupts have been enabled. interrupts are disabled on entry to smm. intr and nmi are the only events that take the cpu out of halt within smm. relocating smram to an address above 1 mbyte within smm (or real mode), the segment base registers can be updated only by changing the segment register. the segment registers contain only 16 bits, which allows only 20 bits to be used for a segment base address (the segment register is shifted left 4 bits to determine the segment base address). if smram is relocated to an address above 1 mbyte, the segment registers can no longer be initialized to point to smram. these areas can still be accessed by using address override prefixes to generate an offset to the correct address. for example, if the smbase has been relo- cated immediately below 16m, the ds and es registers are still initialized to 0000 0000h. data in smram can still be accessed by using 32-bit displacement registers: move esi,ooffxxxxh;64k segment immediately below 16m move ax,ds:[esi]
36 am486de2 microprocessor test registers 4 and 5 modifications the cache test registers for the am486de2 micropro- cessor are the same test registers (tr3, tr4, and tr5) provided in earlier am486dx and dx2 microprocessors. tr3 is the cache test data register. tr4, the cache test status register, and tr5, the cache test control register, operate together with tr3. when wb/wt meets the necessary setup timing and is sampled low on the falling edge of reset, the proces- sor is placed in write-through mode and the test register function is identical to the earlier am486 microproces- sors. table 10 and table 11 show the individual bit func- tions of these registers. tr4 definition on page 36 and tr5 definition on page 36 provide a detailed descrip- tion of the field functions. tr4 definition this section includes a detailed description of the bit fields defined for tr4. note: bits listed in table 10 as not used are not included in these descriptions. n tag (bits 31C11): read/write, always available in write-through mode. for a cache write, this is the tag that specifies the address in memory. on a cache look- up, this is the tag for the selected entry in the cache. n valid (bit 10): read/write. this is the valid bit for the accessed entry. on a cache look-up, valid is a copy of one of the bits reported in bits 6C3. on a cache- write in write-through mode, valid becomes the new valid bit for the selected entry and set. n lru (bits 9C7): read only, independent of the ext bit in tr5. on a cache look-up, these are the three lru bits of the accessed set. on a cache write, these bits are ignored; the lru bits in the cache are updated by the pseudo-lru cache replacement algorithm. write operations to these locations have no effect on the device. n valid (bits 6C3): read only. on a cache look-up, these are the four valid bits of the accessed set. write operations to these locations have no effect on the device. tr5 definition this section includes a detailed description of the bit fields in tr5. note: bits listed in table 11 as not used are not included in the descriptions. n index (bits 10C4): read/write. index selects one of the 128 sets. n entry (bits 3C2): read/write. entry selects between one of the four entries in the set addressed by the set select during a cache read or write. during cache-fill buffer writes or cache-read buffer reads, the value in the entry field selects one of the four doublewords in a cache line. n control (bits 1C0): read/write. the control bits determine which operation is to be performed. the following is a definition of the control operations: 00 = write to cache fill buffer, or read from cache read buffer. 01 = perform cache write. 10 = perform cache read. 11 = flush the cache (mark all entries invalid) table 10. test register (tr4) 31 30C29 28 27C26 25C24 23C22 21C20 19 18 17 16 15C 11 10 9C7 6C3 2C0 ext = 0 tag valid lru valid (rd) not used table 11. test register (tr5) 31C20 19 18C17 16 15C11 10C4 3C2 1C0 write-through not used index entry control
am486de2 microprocessor 37 am486de2 microprocessor functional differences the am486de2 microprocessor is a new member of the amd am486 family, which also includes the enhanced am486 and the am486dx microprocessors. although the am486de2 is based on and compatible with the enhanced am486 microprocessors, it has no support for write-back cache. several important differences exist between the am486de2 and the am486dx processors: n the am486de2 id register contains a different version signature than the am486dx. it has the same id register as the enhanced am486dx2 in write-through mode. n a burst write feature is available for copy-backs. the flush pin and wbinvd instruction copy-back all modified data to external memory prior to issuing the special bus cycle or reset. n the reset state is invoked either after power up or after the reset signal is applied according to the standard am486dx microprocessor specification. n after reset, the status bits of all lines are set to 0. the lru bits of each set are placed in a starting state. in addition, the differences in the processors are high- lighted in table 12. am486de2 microprocessor identification the am486de2 microprocessor supports two standard methods for identifying the cpu in a system. the report- ed values are dynamically assigned based on the cpu type and the status of the wb/wt pin input at reset. dx register at reset the dx register always contains a component identifier at the conclusion of reset. the upper byte of dx (dh) contains 04 and the lower byte of dx (dl) contains a cpu type/stepping identifier (see table 13). cpuid instruction the am486de2 implements a new instruction that makes information available to software about the family, model, and stepping of the microprocessor on which it is executing. support of this instruction is indicated by the presence of a user-modifiable bit in position eflags.21, referred to as the eflags.id bit. this bit is reset to zero at device reset (reset or sreset) for compatibility with existing processor designs. cpuid timing cpuid execution timing depends on the selected eax parameter values (see table 14). table 12. am486de2 microprocessor functional differences processor cache clock major enhancements package am486dx2-66 8 kbyte, write-through 1x, 2x 168-pin pga enhanced am486dx2-66 8-kbyte, write-through/ write-back 2x, 3x smi, write-back 168-pin pga am486de2-66 8-kbyte, write-through 2x smi 168-pin pga, 208-lead sqfp table 13. cpu id codes cpu type and cache mode component id (dh) revision id (dl) de2 in write-through mode 04 3x
38 am486de2 microprocessor cpuid operation the cpuid instruction requires the user to pass an input parameter to the cpu in the eax register. the cpu response is returned to the user in registers eax, ebx, ecx, and edx. when the parameter passed in eax is zero, the register values returned upon instruction execution are: the values in ebx, ecx, and edx indicate an amd microprocessor. when taken in the proper order: n ebx (least significant bit to most significant bit) n edx (least significant bit to most significant bit) n ecd (least significant bit to most significant bit) they decode to: authenticamd when the parameter passed in eax is 1, the register values returned are: the value returned in eax after cpuid instruction ex- ecution is identical to the value loaded into edx upon device reset. software must avoid any dependency upon the state of reserved processor bits. when the parameter passed in eax is greater than one, register values returned upon instruction execution are: table 14. cpuid instruction description op code instruction eax input value cpu core clocks description 0f a2 cpuid 0 1 >1 41 14 9 amd string cpu id register null registers eax[31:0] 00000001h ebx[31:0] 68747541h ecx[31:0] 444d4163h edx[31:0] 69746e65h eax[3:0] stepping id* eax[7:4] model: am486de2cpu write-through mode = 3h eax[11:8] family am486 cpu = 4h eax[15:12] 0000 eax[31:16] reserved ebx[31:0] 00000000h ecx[31:0] 00000000h edx[31:0] 00000001h = all versions the 1 in bit 0 indicates that the fpu is present note: *please contact amd for stepping id details. eax[31:0] 00000000h ebx[31:0] 00000000h ecx[31:0] 00000000h edx[31:0] 00000000h flags affected : no flags are affected. exceptions : none
am486de2 microprocessor 39 electrical data the following sections describe recommended electri- cal connections for the am486de2 microprocessor, and electrical specifications. power connections the am486de2 microprocessor has modest power re- quirements. however, the high clock-frequency output buffers can cause power surges as multiple output buff- ers drive new signal levels simultaneously. for clean, on-chip power distribution at high frequency, 23 v cc pins and 28 v ss pins feed the microprocessor in the 168-pin pga package. the 208-lead sqfp package includes 53 v cc pins and 38 v ss pins. power and ground connections must be made to all ex- ternal v cc and v ss pins of the microprocessors. on a circuit board, all v cc pins must connect to a v cc plane. likewise, all v ss pins must connect to a common gnd plane. the am486de2 microprocessor requires only 3.3 v as input power. unlike other 3-v 486 processors, the am486de2 microprocessor does not require a v cc5 in- put of 5 v to indicate the presence of 5-v i/o devices on the system motherboard. for socket compatibility, this pin is inc (internal no connect), allowing the am486de2 cpu to operate in 3-v sockets in systems that use 5-v i/o. power decoupling recommendations liberal decoupling capacitance should be placed near the microprocessor. the microprocessor, driving its 32- bit parallel address and data buses at high frequencies, can cause transient power surges, particularly when driving large capacitive loads. low-inductance capacitors and interconnects are rec- ommended for best high-frequency electrical perfor- mance. inductance can be reduced by shortening circuit board traces between the microprocessor and the de- coupling capacitors. capacitors designed specifically for use with pga packages are commercially available. other connection recommendations for reliable operation, always connect unused inputs to an appropriate signal level. active low inputs should be connected to v cc through a pull-up resistor. pull-ups in the range of 20 k w are recommended. active high in- puts should be connected to gnd.
40 am486de2 microprocessor absolute maximum ratings case temperature under bias. . . . . C 65c to +110c storage temperature . . . . . . . . . . . C 65c to +150c voltage on any pin with respect to ground . . . . . . C 0.5 v to v cc +2.6 v supply voltage with respect to v ss . . . . . . . . . . . . . . . . C 0.5 v to +4.6 v stresses above those listed under absolute maximum ratings may cause permanent device failure. functionality at or above these limits is not implied. exposure to absolute maximum ratings for extended periods may affect device reliability. operating ranges commercial (c) devices t case . . . . . . . . . . . . . . . . . . . . . . . . . . . . 0c to 85c v cc . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.3 v 0.3 v operating ranges define those limits between which the func- tionality of the device is guaranteed. dc characteristics over commercial operating ranges v cc = 3.3 v 0.3 v; t case = 0c to + 85c symbol parameter min max notes v il input low voltage C 0.3 v +0.8 v v ih input high voltage 2.0 v v cc + 2.4 v v ol output low voltage 0.45 v note 1 v oh output high voltage 2.4 v note 2 i cc power supply current: 66 mhz 660 ma typical supply current: 528 ma @ 66 mhz inputs at rails, outputs unloaded. i ccstopgrant or i ccautohalt input current in stop grant or auto halt mode: 66 mhz 66 ma typical supply current for stop grant or auto halt mode: 20 ma @ 66 mhz and 75 mhz, 30 ma @ 80 mhz, 50 ma @ 100 mhz, and 60 ma @ 120 mhz. i ccstpclk input current in stop clock mode 5 ma typical supply current in stop clock mode is 600 m a. i li input leakage current 15 a note 3 i ih input leakage current 200 a note 4 i il input leakage current C 400 a note 5 i lo output leakage current 15 a c in input capacitance 10 pf f c = 1 mhz (note 6) c o i/o or output capacitance 14 pf f c = 1 mhz (note 6) c clk clk capacitance 12 pf f c = 1 mhz (note 6) notes: 1. this parameter is measured at: address, data, be n = 4.0 ma; definition, control = 5.0 ma 2. this parameter is measured at: address, data, be n = - 1.0 ma; definition, control = - 0.9 ma 3. this parameter is for inputs without internal pull-ups or pull-downs and 0 v in v cc . 4. this parameter is for inputs with internal pull-downs and v ih = 2.4 v. 5. this parameter is for inputs with internal pull-ups and v il = 0.45 v. 6. not 100% tested.
am486de2 microprocessor 41 switching characteristics over commercial operating ranges the ac specifications, provided in the ac characteris- tics table, consist of output delays, input setup require- ments, and input hold requirements. all ac specifications are relative to the rising edge of the clk signal. ac specifications measurement is defined by figure 36. all timings are referenced to 1.5 v unless otherwise specified. am486de2 microprocessor output delays are specified with minimum and maximum limits, measured as shown. the minimum microprocessor delay times are hold times provided to external circuitry. input setup and hold times are specified as minimums, defining the smallest acceptable sampling window. within the sam- pling window, a synchronous input signal must be stable for correct microprocessor operation.
42 am486de2 microprocessor switching characteristics for 33-mhz bus (66-mhz microprocessor) v cc = 3.3 v 0.3 v; t case = 0c to + 85c; c l = 50 pf unless otherwise specified 1 symbol parameter min max unit figure notes frequency 8 33 mhz note 2 t 1 clk period 30 125 ns 39 t 1a clk period stability 0.1% d adjacent clocks notes 3 and 4 t 2 clk high time at 2 v 11 ns 39 note 3 t 3 clk low time at 0.8 v 11 ns 39 note 3 t 4 clk fall time (2 vC0.8 v) 3 ns 39 note 3 t 5 clk rise time (0.8 vC2 v) 3 ns 39 note 3 t 6 a31Ca2, pwt, pcd, be3 Cbe0 , m/io , d/c , cache , w/r , ads , lock , ferr , breq, hlda, smiact , hitm valid delay 3 14 ns 40 note 5 t 7 a31Ca2, pwt, pcd, be3 Cbe0 , m/io , d/c , cache , w/r , ads , lock float delay 3 20 ns 41 note 3 t 8 pchk valid delay 3 14 ns 42 t 8a blast , plock , valid delay 3 14 ns 40 t 9 blast , plock , float delay 3 20 ns 41 note 3 t 10 d31Cd0, dp3Cdp0 write data valid delay 3 14 ns 40 t 11 d31Cd0, dp3Cdp0 write data float delay 3 20 ns 41 note 3 t 12 eads , inv, wb/wt setup time 5 ns 43 t 13 eads , inv, wb/wt hold time 3 ns 43 t 14 ken , bs16 , bs8 setup time 5 ns 43 t 15 ken , bs16 , bs8 hold time 3 ns 43 t 16 rdy , brdy setup time 5 ns 44 t 17 rdy , brdy hold time 3 ns 44 t 18 hold, ahold setup time 6 ns 43 t 18a boff setup time 7 ns 43 t 19 hold, ahold, boff hold time 3 ns 43 t 20 reset, flush , a20m , nmi, intr, ignne , stpclk , sreset, smi setup time 5 ns 43 note 5 t 21 reset, flush , a20m , nmi, intr, ignne , stpclk , sreset, smi hold time 3 ns 43 note 5 t 22 d31Cd0, dp3Cdp0, a31Ca4 read setup time 5 ns 43, 44 t 23 d32Cd0, dp3Cdp0, a31Ca4 read hold time 3 ns 43, 44 notes: 1. specifications assume c l = 50 pf. i/o buffer model must be used to determine delays due to loading (trace and component). first-order i/o buffer models for the processor are available. 2. 0-mhz operation guaranteed during stop clock operation or 1x static clock mode. 3. not 100% tested. guaranteed by design characterization. 4. for faster transitions (>0.1% between adjacent clocks), use the stop clock protocol to switch operating frequency. 5. all timings are referenced at 1.5 v (as illustrated in the listed figures) unless otherwise noted.
am486de2 microprocessor 43 switching waveforms key to switching waveforms waveform inputs outputs must be steady will be steady may change from h to l will change from h to l may change from l to h will change from l to h dont care; any change permitted changing; state unknown does not apply center line is high-impedance off state figure 18. clk waveforms
44 am486de2 microprocessor figure 19. output valid delay timing figure 20. maximum float delay timing
am486de2 microprocessor 45 figure 21. pchk valid delay timing
46 am486de2 microprocessor figure 22. input setup and hold timing
am486de2 microprocessor 47 figure 23. rdy and brdy input setup and hold timing figure 24. tck waveforms
48 am486de2 microprocessor package thermal specifications the am486 microprocessor is specified for operation when t case (the case temperature) is within the range of 0 c to +85 c. t case can be measured in any environ- ment to determine whether the am486de2 micropro- cessor is within the specified operating range. the case temperature should be measured at the center of the top surface opposite the pins. the ambient temperature (t a ) is guaranteed as long as t case is not violated. the ambient temperature can be calculated from q jc and q ja and from the following equa- tions: t j = t case + p q jc t a = t j C p q ja t case = t a + p [ q ja C q jc ] where: t j , t a , t case = junction, ambient, and case tempera- ture. q jc , q ja = junction-to-case and junction-to-ambient thermal resistance, respectively p = maximum power consumption the values for q ja and q jc are given in table 15 for the 1.75 sq. in., 168-pin, ceramic pga. for the 208-lead sqfp plastic package, q ja = 14.0 and q jc = 1.5. table 16 shows the t a allowable (without exceeding t case ) at various airflows and operating frequencies (clock). note that t a is greatly improved by attaching fins or a heat sink to the package. heat sink dimensions are shown in figure 47. p (the maximum power con- sumption) is calculated by using the maximum i cc at 3.3 v as tabulated in the dc characteristics . figure 25. test signal timing diagram
am486de2 microprocessor 49 *0.350 2 high unidirectional heat sink (al alloy 6063-t5, 40 mil fin width, 155 mil center-to-center fin spacing). table 15. thermal resistance (c/w) q jc and q ja for the am486de2 in 168-pin pga package cooling mechanism q jc q ja vs. airflow-ft/min. (m/sec) 0 (0) 200 (1.01) 400 (2.03) 600 (3.04) 800 (4.06) 1000 (5.07) no heat sink 1.5 16.5 14.0 12.0 10.5 9.5 9.0 heat sink* 2.0 12.0 7.0 5.0 4.0 3.5 3.25 heat sink* and fan 2.0 5.0 4.6 4.2 3.8 3.5 3.25 table 16. maximum t a at various airflows in c t a by cooling type clock airflow-ft/min. (m/sec) 0 (0) 200 (1.01) 400 (2.03) 600 (3.04) 800 (4.06) 1000 (5.07) t a without heat sink 66 mhz 49.0 55.0 59.8 63.4 65.8 67.0 t a with heat sink 66 mhz 61.0 73.0 77.8 80.2 81.4 82.0 t a with heat sink and fan 66 mhz 77.8 78.8 79.7 80.7 81.4 82.0 figure 26. . heat sink dimensions 0.290 2 0.100 2 0.040 2 1.53 2 0.350 2 0.060 2 0.115 2 17852b-113
50 am486de2 microprocessor physical dimensions 168-pin pga ceramic pin grid array, cgm 168 1.735 1.765 1.735 1.765 bottom view (pins facing up) base plane seating plane 0.140 0.180 0.110 0.140 0.105 0.125 0.017 0.020 side view 0.025 0.045 1.595 1.605 1.595 1.605 index corner 0.090 0.110 notes: 1. all measurements are in inches. 2. not to scale. for reference only. 3. bsc is an ansi standard for basic space centering.
am486de2 microprocessor 51 208-lead sqfp shrink quad flat pack, pde 208 seating plane 6 3 30.40 30.80 see detail h 27.90 28.10 25.50 ref 18.00 18.00 25.50 ref 3 27.90 28.10 30.40 30.80 6 pin one i.d. 3.0 r ref. typ. pin 1 pin 208 pin 156 pin 104 pin 52 CaC CdC CbC 2 CaC CcC s s 4.00 0.25 0.42 3.25 3.45 0.50 basic
52 am486de2 microprocessor notes: 1. all dimensions and tolerances conform to ansi y14.5m-1982. 2. datum plane -a- is located at the mold parting line, and is coincedent with the bottom of the lead where the lead exits the plastic body. 3. dimensions d1" and e1" do not include mold protrusions. allowable protrusion is 0.25mm per side. dimensions d1" and e1" include mold mismatch, and are determined at datum plane -a-. 4. dimension b does not include dambar protrusion. 5. controlling dimensions: millimeter. 6. dimensions d and e are measured from outermost points. 7. pin no. 1 id may be inside top ejector mark, or separate. 8. heatsink centerline to be aligned with package centerline 0.30. 9. half span (center of package to lead tip) shall be 15.30 0.165[0.602" 0.0065"] 10. no lead distortion (bent leads etc...) shall cause deviation from true position greater than 0.04[0.0016"] at b max. 11. lead coplanarity with respect to the seating plane shall not exeed 0.08[0.0031"]. amd, the amd logo, and combinations thereof are trademarks of advanced micro devices, inc. am386, and am486 are registered trademarks of advanced micro devices, inc. fusione86 is a service mark of advanced micro devices, inc. product names used in this publication are for identification purposes only and may be trademarks of their respective companies. 0.10 0.20 gage plane 0.25 0.20 0.10 0 min. 0.40 min. flat shoulder 12~ 16 12~ 16 0 -7 10 11 0.08 4 4.00 detail h 0.50 0.75 1.30 nom. section s-s 0.17 0.27 0.17 0.27


▲Up To Search▲   

 
Price & Availability of AM486DE2-66V8THC

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X